The University of Southampton
Telephone:
+442380595164

Professor Bashir Al-Hashimi CBE, FREng, FIEEE, FIET, FBCS

Personal homepage
https://www.arm.ecs.soton.ac.uk/people/bashir-al-hashimi/

 

Professor Bashir M. Al-Hashimi is a Visiting Professor in Electronics and Computer Science (ECS) at the University of Southampton and an ARM Professor of Computer Engineering at King's College London. He worked in the electronics design industry for eight years prior to embarking on an academic career with ECS in 1999, where he was awarded a personal Chair in 2004.

His research focuses on studying the interaction between hardware and software of energy-constrained computing to design systems that are more energy efficient and more reliable through theoretical and experimental advances. He has made contributions to the field of energy-efficient mobile computing systems, low-power test and test-data compression of digital integrated circuits and energy-harvesting computing. His research is supported by the Engineering and Physical Sciences Research Council (EPSRC). See here. In 2008, he founded the Arm-ECS industry-academia centre of research excellence in energy-efficient computing. He has supervised 40 PhD students to successful completion, published 380 referred technical papers, authored or co-authored 7 books and his recent edited book can be found here.

Bashir is an interdisciplinary researcher and he has led successfully a number of large-scale interdisciplinary research programmes funded by the EPSRC and industry. As examples, he was the principal investigator of an EPSRC Programme Grant PRiME as well as the Holistic project. Bashir has established numerous international research collaborations and he is currently a member of the EPSRC-funded international centre-to-centre research consortia in Spatial Computational Learning. He was awarded a CBE in 2018 for services to engineering and industry, was elected a Fellow of the Royal Academy of Engineering in 2013 and as a Fellow of the Institute of Electrical and Electronics Engineering in 2011a. He served on the Research Excellence Framework (REF) 2014 Electrical, Electronics and Materials Panel and will serve again in REF2021 as a member of the Engineering Panel.

Bashir is currently the Executive Dean of the Faculty of Natural & Mathematical Sciences at King’s College London and served previously at the University of Southampton as Executive Dean of the Faculty of Engineering and Physical Sciences.

Research

Research interests

EP/P010164/1 Wearable and Autonomous Computing for Future Smart Cities: A Platform Grant

EP/K031910/1 EPSRC IRC 'SPHERE' - a Sensor Platform for HEalthcare in a Residential Environment

EP/L010550/1 Highly-parallel algorithms and architectures for high-throughput wireless receivers(C)

EP/L000563/1 Continuous on-line adaptation in many-core systems: From graceful degradation to graceful amelioration(C)

EP/K034448/1 PRiME: Power-efficient, Reliable, Many-core Embedded systems(P)

EP/K000810/1 Resilient and Testable Energy-Efficient Digital Hardware(P)

EP/J015520/1 Channel Decoder Architectures for Energy-Constrained Wireless Communication Systems: Holistic Approach(C)

EP/H014608/1 Configurable Analogue Transistors: Conquering Unreliability in a Shrinking World(C)

EP/H011420/1 Variation-Aware Test for NanoScale CMOS Integrated Circuits(P)

EP/G067740/1 Next Generation Energy-Harvesting Electronics - holistic approach 1763(P)

EP/E035965/1 Electronics Design(P)

EP/D042917/1 PLATFORM: New directions for intelligent sensors(C)

EP/D057663/1 Reliable Low Power Embedded Computing Systems (ROPEUST)(P)

EP/D022797/1 Support for the 11th European Test Symposium (ETS) Conference in Southampton(P)

EP/C512804/1 Next Generation Of Interconnection Technology For Multiprocessor SoC(P)

GR/S95770/01 PLATFORM: System-on-chip design methods and tools(P)

GR/S41135/01 Test Resource Partitioning: A Low-Cost Test Scheme for Systems-on-Chip (TRAP)(P)

GR/S05557/01 Low-Power Built-in-Self-Test (LOBIST)(P)

GR/N31900/01 HIGH FREQUENCY SWITCHED CURRENT WAVE ELLIPTIC FILTERS AND EQUALISERS BASED ON BRUTON TRANSFORMATIONS

Publications

Al-Hashimi, B. (1996) Current mode filter structure based on dual output transconductance amplifiers.

Lind, L.F., Al-Hashimi, B. M. and Somerset, W.P. (1996) Linear programming design of FIR raised cosine filters with >100% excess bandwidth.

Dudek, F., Al-Hashimi, B.M. and Moniri, M. (1997) CMOS equaliser for compensating sinc(x) distortion of video D/A converters.

Al-Hashimi, B.M., Dudek, F., Moniri, M. and Living, J. (1998) Integrated universal biquad based on triple-output OTAs and using digitally programmable zeros. IEE Proceedings - Circuits, Devices and Systems, 145 (3), 192-196. (doi:10.1049/ip-cds:19981990).

Nicolici, N. and Al-hashimi, B.M. (1998) Correction to the proof of theorem 2 in "Parallel signature analysis design with bounds on aliasing". IEEE Transactions on Computers, 47 (12), 1426-7.

Moniri, M. and Al-Hashimi, B.M. (1997) Systematic generation of current mode dual output OTA filters using a building block approach. International Journal of Electronics, 83 (1), 37-48. (doi:10.1080/002072197135625).

Kollig, P., al-hashimi, b.m. and abbott, k.m. (1997) Efficient scheduling of behavioral descriptions in high-level synthesis.

Kollig, P. and Al-Hashimi, B.M. (1999) Reduction of latency and resource usage in bit-level pipelined data paths for FPGAs. In FPGA '99 Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays. ACM. pp. 227-234 .

Nicolici, N. and Al-Hashimi, B.M. (1999) Efficient BIST hardware insertion with low test application time for synthesized data paths. IEEE/ACM Design, Automation and Test in Europe. pp. 289-295 .

Dudek, F., Al-Hashimi, B.M. and Moniri, M. (1999) Compensation of non-ideal effects in video frequency sinc(x) equalisers using tunable gm_c structure. pp. 148-51 .

Living, J and Al-Hashimi, B.M. (1999) Mixed arithmetic architecture: a solution to the iteration bound for resources FPGA and CPLD recursive digital filters. IEEE International Symposium on Circuits and Systems, USA. pp. 478-81 .

Living, J and Al-Hashimi, B.M. (1999) New resource saving differential coefficient coding algorithm for recursive FIR filter design. IEEE International Symposium on Circuits and Systems, USA. pp. 478-81 .

Kollig, P. and Al-Hashimi, B.M. (1997) FPGA Implementation of high performance FIR Filters. pp. 2240-43 .

Lancaster, J., Al-Hashimi, B.M. and Moniri, M. (1998) Efficient design of switched current lowpass elliptic filters using Bruton transformations. pp. 115-18 .

Somerset, W., Al-Hashimi, B.M. and Moniri, M. (1998) Constrained genetic algorithm design of finite precision FIR linear phase raised cosine filters. pp. 445-8 .

Al-Hashimi, B.M. (1996) New dual output transconductance amplifier based biquad. pp. 1200-1204 .

Kollig, P. and Al-Hashimi, B.M. (1998) ARGEN: A behavioral level compiler for hardware realisation of DSP systems.

Al-Hashimi, B.M. (1995) Behavioral models of electronic filters. pp. 51-5 .

Kollig, P. and AL-Hashimi, B.M. (1996) Design and implementation of digital systems for automatic control based on behavioral descriptions. pp. 21-4 .

AL-Hashimi, B.M. (1995) Current mode filters: design, simulation and implementation. pp. 61-5 .

Holdcroft, D, Moniri, M. and AL-Hashimi, B.M. (1996) Comparative study of recursive digital filters using Z and delta operators. pp. 91-6 .

Al-Hashimi, B.M. (1995) The Art of SPICE Simulation: Analog and Digital: 1st , CRC Press, The Art of SPICE Simulation: Analog and Digital

Al-Hashimi, B.M. , Whitaker, Jerry C. (ed.) (1996) The Electronics Handbook: 1st edition , CRC Press in cooperation with IEEE Press

Dudek, F., AL-Hashimi, B.M. and Moniri, M. (1998) Analysis and compensation of OTA non-ideal effects in video frequency CMOS sinc(x) equaliers. pp. 294-297 .

Living, J., AL-Hashimi, B.M. and Moniri, M. (1998) High performance distributed arithmetic FPGA decimators for video frequency applications. pp. 294-297 .

Somerset, W., Al-Hashimi, B.M. and Moniri, M. (1996) A computer program for the design and analysis of linear phase FIR raised cosine filters. pp. 627-30 .

Moniri, M. and Al-Hashimi, B.M. (1996) Generation of current mode filter structures using dual output transconductance amplifiers. IEEE Proceedings of the 39th Midwest Symposium on Circuits and Systems. pp. 903-906 .

AL-HASHIMI, B.M. , Browne, Jack (ed.) (1996) Understand the Fundamental of Passive Video Filters. Microwave & RF, 35 (5), 171-78.

Lancaster, J. and Al-Hashimi, B.M. (1999) Efficient Switched Current Wave Elliptic Filters Based on Direct and Inverse Bruton Transformations. pp. 235-241 .

Al-Hashimi, B.M., Dudek, F. and Sun, Y. (2000) CMOS Design of Group Delay Equaliser. pp. 163-169 .

Dudek, F., Al-Hashimi, B.M. and Moniri, M. (2000) Current mode elliptic filter design based on symmetrical current switching. pp. 163-77 .

Nicolici, Nicola and Al-Hashimi, Bashir M. (2000) Scan Latch Partitioning into Multiple Scan Chains for Power Minimization in Full Scan Sequential Circuits. IEEE/ACM Design, Automation and Test in Europe (DATE). pp. 715-722 .

Al-Hashimi, B.M. (1991) On the implementation of video filters using current feedback amplifiers. pp. 1154-1158 .

Al-Hashimi, B.M. and Fidler, J.K. (1990) Novel high frequency continuous time lowpass OTA based filters. pp. 1171-1173 .

Al-Hashimi, B.M. and Holden, A.G. (1990) On the practical implementation of high performance active audio filters using the FDNR concept.

Nicolici, N., Al-Hashimi, B.M. and Williams, A.C. (2000) Minimising power dissipation during test application in full scan sequential circuits by primary input freezing. IEE Proceedings - Computers and Digital Techniques, 147 (5), 313-322. (doi:10.1049/ip-cdt:20000537).

Living, J. and Al-Hashimi, B.M. (1999) FPGA based video signal decimators for sample rate reduction from 27/28.64 MHz to 13.5/14.32 MHz. pp. 38-42 .

Living, J and Al-Hashimi, B.M. (1999) Architecture-mapped concurrent-transform programmable 2D FIR filters for serial image processing. pp. 112-116 .

Al-Hashim, B.M., Dudek, F. and Moniri, M. (2000) Current mode group delay equalisation using pole-zero mirroring techniques. pp. 257-63 .

Nicolici, Nicola and Al-Hashimi, Bashir M (2000) Power Minimisation Techniques for Testing Low Power VLSI Circuits. IEE Postgraduate Research and Electronics and Photonics (PREP). pp. 7-12 .

Nicolici, Nicola and Al-Hashimi, Bashir M. (2000) Power Conscious Test Synthesis and Scheduling. IEEE International Test Conference (ITC). pp. 662-671 .

Nicolici, N., Al-Hashimi, B.M., Brown, A.D. and Williams, A.C. (2000) BIST hardware synthesis for RTL data paths based on test compatibility classes. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19 (11), 1375-1385. (doi:10.1109/43.892861).

Schmitz, Marcus T. and Al-Hashimi, Bashir M. (2000) Energy Minimisation for Processor Cores using Variable Supply Voltages. IEE System on a Chip Workshop. 10/1-10/4 .

Gonciari, Paul T. and Al-Hashimi, Bashir M. (2000) Modified Isolation Rings for Parallel Test Access in Core Based SoC. IEE System on a Chip Workshop. 10/1-10/4 .

Al-hashimi, Bashir and Nicolici, Nicola (2000) Low Power Testing of Digital ICs: Overview. pp. 3-4 .

Varea, Mauricio and Al-Hashimi, Bashir M. (2001) Dual Transitions Petri Net based Modelling Technique for Embedded Systems Specification. Conference on Design, Automation and Test in Europe (DATE), Munich, Germany. 13 - 16 Mar 2001. pp. 566-571 .

Nicolici, N and Al-Hashimi, B M (2001) Testability Trade-offs for BIST RTL Data Paths: The Case for Three Dimensional Design Space.

Schmitz, Marcus T. and Al-Hashimi, Bashir M. (2000) Low Power Process Assignment for Distributed Embedded Systems using Dynamic Voltage Scaling. IEE Hardware-Software Co-Design. 7/1-7/4 .

Rosinger, Paul, Al-Hashimi, Bashir and Nicolici, Nicola (2001) Power constrained test scheduling using power profile manipulation. International Symposium on Circuits and Systems 2001. pp. 251-254 .

Schmitz, Marcus T and Al-Hashimi, Bashir M (2001) Considering Power Variations of DVS Processing Elements for Energy Minimisation in Distributed Systems. Proceedings of 14th International Symposium on System Synthesis. pp. 250-255 .

Nicolici, N. and Al-Hashimi, B.M. (2001) Tackling Test Trade-offs for BIST RTL Data Paths: BIST Area Overhead, Test Application Time and Power Dissipation.

Wilcock, R. and Al-Hashimi, B. M. (2002) A New BIST Methodology for Fully-Balanced OTA-C Filters. IEEE International Symposium on Circuits and Systems, Phoenix, Arizona.

Nicolici, N. and Al-Hashimi, B.M. (2001) Minimising power dissipation in partial scan sequential circuits.

Nicolici, N. and Al-Hashimi, B.M. (2001) Low power test compatibility classes: exploiting regularity for simultaneous reduction in test application time and power dissipation.

Al-Hashimi, B.M. and Xie, Y. (2001) Non ideal performance of Bruton transformations switched current wave filters+.

Schmitz, Marcus T., Al-Hashimi, Bashir M. and Eles, Petru (2001) Co-Synthesis with Energy Minimisation for Heterogeneous Distributed Systems containing Power Managed Processing Elements.

Varea, Mauricio and Al-Hashimi, Bashir (2001) Embedded Systems Modelling and Validation based on Extended Petri Nets. 1st U.K. SIGDA Workshop on Design Automation, London, United Kingdom.

Schmitz, Marcus T., Al-Hashimi, Bashir M. and Eles, Petru (2002) Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems.

Schmitz, Marcus T., Al-Hashimi, Bashir M. and Eles, Petru (2002) Synthesising Energy-Efficient Embedded Systems with LOPOCOS.

Rosinger, Paul, Gonciari, Theo, Al-Hashimi, Bashir and Nicolici, Nicola , IEE, None (ed.) (2001) Simultaneous Reduction in Volume of Test Data and Power Dissipation for Systems-on-a-Chip. Electronics Letters, 37 (24), 1434-1436.

Rosinger, P, Gonciari, P, Al-Hashimi, B.M and Nicolici, Nicola (2002) Analysing trade-offs in scan power and test data compression for Systems-on-a-chip.

Nicolici, Nicola and Al-Hashimi, Bashir (2002) Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. pp. 721-733 .

Rosinger, Paul, Al-Hashimi, Bashir and Nicolici, Nicola (2002) Low Power Mixed-Mode BIST Based on Mask Pattern Generation Using Dual LFSR Re-seeding. International Conference on computer Design, Freiburg.

Rosinger, Paul, Al-Hashimi, Bashir and Nicolici, Nicola (2002) Power Profile Manipulation: A New Approach for Reducing Test Application Time Under Power Constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wilcock, R and Al-Hashimi, B. M. (2002) Analogue Filter IP Cores for Design Reuse. IEE Conference on Analog Signal Processing, Oxford, United Kingdom. 2.1-2.6 .

Oikonomakos, P., Zwolinski, M. and Al-Hashimi, B. M. (2003) Versatile High-Level Synthesis of Self-Checking Datapaths Using an On-Line Testability Metric. Design Automation and Test in Europe (DATE), Munich. 03 - 07 Mar 2003. pp. 596-601 .

Wu, D., Al-Hashimi, B. M. and Eles, P. (2003) Scheduling and Mapping of Conditional Task Graphs for the Synthesis of Low Power Embedded Systems. Design, Automation and Test in Europe, Munich, Germany. 03 - 07 Mar 2003. pp. 90-95 .

Wu, Dong, Al-Hashimi, Bashir M, Schmitz, Marcus T and Eles, Petru (2005) Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction. 8th EUROMICRO Conference on Digital System Design, Porto, Portugal. 29 Aug - 02 Sep 2005.

Chang, Chun-Ming, Al-Hashimi, B. M., Chen, H. P., Tu, S. H. and Wan, J. A. (2002) Current mode single resistance controlled oscillators using only grounded passive components. Electronics Letters, 38 (19), 1071-1072.

Chang, Chun-Ming, Al-Hashimi, Bashir M., Wang, C. L. and Hung, C. W. (2003) A Single Fully Differential Current Conveyor Biquad Filters. IEE Proceedings - Circuits, Devices and Systems.

Al-Hashimi, Bashir, Xie, Yan and Zwolinski, Mark (2003) Analysis of mirror mismatch and clock-feedthrough in Brouton transformation switched current wave filters. IEE Proceedings - Circuits, Devices and Systems, 150 (1), 6-15.

Gaur, Manoj Singh, Zwolinski, Mark and Al-Hashimi, Basheer (2003) Concurrent Optimisation of Self-testable Designs from Behavioural Descriptions by Controller based Estimation Technique. Gaur, Manoj Singh (ed.) IEEE European Test Workshop, Mastricht, The, Netherlands. 24 - 27 May 2003.

Varea, Mauricio, Al-Hashimi, Bashir M., Cortes, Luis A., Eles, Petru and Peng, Zebo (2002) Symbolic Model Checking of Dual Transition Petri Nets. International Symposium on Hardware/Software Codesign (CODES), Estes Park, Colorado, United States. 05 - 07 May 2002. pp. 43-48 .

Varea, Mauricio, Al-Hashimi, Bashir and Leuschel, Michael (2002) Finite and Infinite Model Checking of Dual Transition Petri Net Models. Second Workshop on Automated Verification of Critical Systems (AVOCS), Birmingham, United Kingdom. 14 - 15 Apr 2002. pp. 265-269 .

Varea, Mauricio, Leuschel, Michael and Al-Hashimi, Bashir (2003) Improving Compositional Verification of State-based Models by Reducing Modular Unbalance. 2nd International Workshop on Refinement of Critical Systems, Turku, Finland.

Wilcock, R and Al-Hashimi, B. M. (2003) A CAD Methodology for Switched Current IP Cores. 9th IEEE International Conference on Emerging Technologies and Factory Automation, Lisbon, Portugal. 15 - 18 Sep 2003. pp. 434-437 .

Gonciari, Paul Theo, Al-Hashimi, Bashir and Nicolici, Nicola (2003) Test data compression: The system integrator’s perspective. Design Automation and Test in Europe, , Munich, Germany. 03 - 07 Mar 2003. pp. 726-731 .

Gonciari, Paul Theo, Al-Hashimi, Bashir and Nicolici, Nicola (2003) Variable-Length Input Huffman Coding for System-on-a-Chip Test. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 22 (6), 783-783.

Wilcock, R and Al-Hashimi, B. M. (2004) Power-Aware Design Method for Class-A Switched-Current Wave Filters. IEE Proceedings - Circuits Devices and Systems.

Nicolici, Nicola and Al-Hashimi, Bashir M (2002) Power-conscious test synthesis and scheduling. IEEE Proceedings of Design and Test of Computers, 20 (4), 48-55.

Rosinger, Paul, Al-Hashimi, Bashir M and Nicolici, Nicola (2003) Dual multiple-polynomial LFSR for low-power mixed-mode BIST. IEE Proceedings - Computers and Digital Techniques, 150 (4), 209-218. (doi:10.1049/ip-cdt:20030666).

Schmitz, Marcus T., Al-Hashimi, Bashir M. and Eles, Petru (2004) Iterative schedule optimisation for voltage scalable distributed embedded systems. ACM Transactions on Embedded Computing Systems, 3 (1), 182-217.

Gonciari, PT, Al-Hashimi, B and Nicolici, N (2003) Addressing Useless Test Data in Core-Based System-on-a-Chip Test. IEEE Transactions on Computer-Aided Design, 22 (11), 1568-1590.

Nicolici, Nicola and Al-Hashimi, Bashir M. (2003) Power-Constrained Testing of VLSI Circuits (Frontiers in Electronic Testing), Kluwer Academic Publishers

Wehn, Norbert and Al-Hashimi, Bashir M. , Wehn, Norbert and Al-Hashimi, Bashir M. (eds.) (2003) IEE Proceedings: Computers and Digital Techniques Special issue on "Design and Test Conference in Europe", DATE 03. IEE Proceedings - Computers and Digital Techniques, 150 (4), 253-355.

Wu, D., Al-Hashimi, B. M. and Eles, P. (2003) Scheduling and Mapping of Conditional Task Graph for the Synthesis of Low Power Embedded Systems. IEE Proceedings - Computers and Digital Techniques, 150 (5), 262-273.

Xie, Yan and Al-Hashimi, Bashir (2004) 'Switched-Current Wave Group Delay Equalizers'. IEE Proceedings - Circuits, Devices and Systems.

Andrei, A., Schmitz, M, Eles, P, Peng, Z and Al-Hashimi, B.M. (2003) Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. Design, Automation and Test in Europe, DATE 04,, Paris.

Lampropoulos, Matheos, Al-Hashimi, Bashir and Rosinger, Paul (2003) Minimization of Crosstalk Noise, Delay and Power Using a Modified Bus Invert Technique. Design, Automation and Test in Europe.

Chang, Chun-Ming, Al-Hashimi, Bashir M., Sun, Y. and Ross, Neil J. (2004) New High Order Filter Structures Using Only Single-Ended-Input OTAs and Grounded Capacitors. IEEE Transactions on Circuits and Systems Part 1: Regular Papers.

Morrissey, Q.R., Waltham, N.R., Turchetta, R., French, M.J., Bagnall, D.M. and Al Hashimi, B.M. (2003) Design of a 3µm pixel linear CMOS sensor for earth observation. Nuclear Instruments and Methods in Physics Research Section A: Accelerators, Spectrometers, Detectors and Associated Equipment, 512 (1-2), 350-357. (doi:10.1016/S0168-9002(03)01913-2).

Gonciari, Paul Theo, Al-Hashimi, Bashi and Nicolici, Nicola (2003) Test Cost Reduction Through Compression. Electronics Systems and Software, 1 (3), 37-41.

Schmitz, Marcus T., Al-Hashimi, Bashir M. and Eles, Petru (2004) System-Level Design Techniques for Energy-Efficient Embedded Systems (CAD Frameworks), Kluwer Academic Publishers, Boston

Xie, Yan and Al-Hashimi, Bashir (2004) Analogue Adaptive Filters Using Wave Synthesis Technique. IEEE International Symposium on Circuits and Systems 2004 (ISCAS2004), Vancouver, Canada.

Xie, Yan and Al Hashimi, Bashir (2002) Synthesis of switched-current Ladder Derived Group delay Equalizers. Proc. IEE Analog Signal Processing Symposium, Oxford, United Kingdom. 1/1- 6/1 .

Chang, Chun-Ming, Al-Hashimi, Bashir M. and Ross, Neil J. (2004) Unified Active Filter Structures. IEE Proceedings - Circuits, Devices and Systems.

Rosinger, Paul, Al-Hashimi, Bashir and Nicolici, Nicola (2004) Scan architecture with mutually exclusive scan segment activation for shift and capture power reduction. IEEE Transactions on Computer-Aided Design, 23 (7), 1142-1153.

Wilcock, R and Al-Hashimi, B. M. (2004) Power-Conscious Design Methodology for Class-A Switched-Current Wave Filters. IEEE International Symposium on Circuits and Systems, Vancouver, Canada.

Nicolici, Nicola and Al-Hashimi, Bashir M. (2004) Testability Trade-offs for BIST Data Paths. Journal of Electronic Testing, 20, Is.

Chang, Chun-Ming and Al-Hashimi, Bashir M. (2003) Analytical Synthesis of Current-Mode High-Order OTA-C Filters. IEEE Transactions on Circuits and Systems Part 1: Regular Papers, 50 (509), 1188-1192.

Schmitz, Marcus T., Al-Hashimi, Bashir M. and Eles, Petru (2004) Co-Synthesis of Energy Efficient Multi-Mode Embedded Systems. IEEE Transactions on Computer Aided Design of ICs and Systems Design.

Schmitz T., Marcus, Al-Hashimi, Bashir M. and Eles, Petru (2005) Co-Synthesis of Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24 (2), 153-169.

Gonciari, Paul Theo and Al-Hashimi, Bashir (2004) A Compression-Driven Test Access Mechanism Design Approach. European Test Synposium, Corsica, France. 22 - 25 May 2004.

Gonciari, Paul Theo, Al-Hashimi, Bashir and Nicolici, Nicola (2005) Synchronization Overhead in SOC Compressed Test. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13 (1), 140-152.

Jafaripanah, Mehdi, Al-Hashimi, Bashir and White, Neil M. (2004) Design Consideration and Implementation of Analog Adaptive Filters for Sensor Response Correction. 12th Iranian Conference on Electrical Engineering (ICEE2004), Mashhad, Iran, Islamic Republic of. 10 - 12 May 2004. pp. 109-114 .

Jafaripanah, Mehdi, Al-Hashimi, Bashir and White, Neil M. (2003) Load Cell Response Correction Using Analog Adaptive Techniques. 2003 IEEE International Symposium on Circuits and Systems (ISCAS2003), Bangkok, Thailand. 24 - 27 May 2003. IV-752-IV-755 .

Merrett, Geoff and Al-Hashimi, Bashir M. (2004) Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. IEEE 14th International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS 2004), Santorini, Greece. pp. 198-207 .

Andrei, A, Schmitz, M, Eles, P, Peng, Z and Al-Hashimi, B.M. (2004) Simultaneous Communication and Processor Voltage Scaling for Dynamic and Leakage Energy Reduction in Time-Constrained Systems. IEEE International Conference on Computer Aided Design (ICCAD), SAn Jose, CA. 07 - 11 Nov 2004. pp. 361-367 .

Wu, Dong, Al-Hashimi, Bashir M and Eles, Petru (2004) Dynamic and Leakage Power-Composition Profile Driven Co-Synthesis for Energy and Cost Reduction. System-On-Chip Design, Test and Technology, Loughborough, United Kingdom.

Schmitz, Marcus T, Al-Hashimi, Bashir M and Eles, Petru (2002) Synthesizing Energy-Efficient Embedded Systems with LOPOCOS. Design Automation for Embedded Systems, 6, 401-424.

Andrei, Alexandru, Schmitz, Marcus T, Eles, Petru, Peng, Zebo and Al-Hashimi, Bashir M (2005) Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints. Design, Automation and Test Europe Conference (DATE2005), Munich, Germany.

Andrei, Alexandru, Schmitz, Marcus T, Eles, Petru, Peng, Zebo and Al-Hashimi, Bashir M (2004) Overhead-Conscious Voltage Selection for Dynamic and Leakage Power Reduction of Time-Constraint Systems. Design, Automation and Test Europe Conference (DATE2004), Paris, France. pp. 518-523 .

Schmitz, Marcus T, Al-Hashimi, Bashir M and Eles, Petru (2003) A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities. Design, Automation and Test Europe Conference (DATE2003), Munich, Germany. pp. 960-965 .

Schmitz, Marcus T, Al-Hashimi, Bashir M and Eles, Petru (2002) Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems. Design, Automation and Test Europe Conference (DATE2002), Paris, France. pp. 514-521 .

Rosinger, Paul, Al-Hashimi, Bashir and Chakrabarty, Krishnendu (2005) Rapid generation of thermal-safe test schedules. Design Automation and Test in Europe (DATE), Munich, Germany. 07 - 11 Mar 2005.

Jafaripanah, Mehdi, Al-Hashimi, Bashir M. and White, Neil M. (2005) Adaptive Sensor Response Correction Using Analog Filter Compatible with Digital Technology. IEEE International Symposium on Circuits and Systems ( ISCAS 2005), Kobe, Japan. 22 - 25 May 2005.

Jafaripanah, Mehdi, Al-Hashimi, Bashir and White, Neil M. (2005) Application of Analog Adaptive Filters for Dynamic Sensor Compensation. IEEE Transaction On Instrumentation and Measurement, 54 (1), 245-251.

Wilcock, Reuben, Wilson, Peter R. and Al-Hashimi, Bashir (2005) A Novel Switch-Current Phase Locked Loop. ISCAS 2005, Kobe, Japan.

Jafaripanah, Mehdi, Al-Hashimi, Bashir M. and White, Neil M. (2005) Dynamic Sensor Compensation Using Analogue Adaptive Filter Compatible with Digital Technology. IEEE Proceedings on Circuits, Devices and Systems.

Ochoa-Montiel, M A, Al-Hashimi, B M and Kollig, P (2005) Impact of Multicycled Scheduling on Power-Area Tradeoffs in Behavioural Synthesis. 2005 IEEE International Symposium on Circuits and Systems (ISCAS), Kobe, Japan. 22 - 25 May 2005.

Cai, Yuan, Reddy, Sudhakar, Pomeranz, Irith and Al-Hashimi, Bashir M. (2005) Battery-aware dynamic voltage scaling in multiprocessor embedded system. IEEE ISCAS, Japan.

Merrett, Geoff, Al-Hashimi, Bashir M., White, Neil M. and Harris, Nick R. (2005) Information Managed Wireless Sensor Networks with Energy Aware Nodes. 2005 NSTI Nanotechnology Conference and Trade Show (NanoTech 2005), Anaheim, California. 07 - 11 May 2005. pp. 367-370 .

Collins, Matthew, Al-Hashimi, Bashir and Ross, Neil (2005) A Programmable Time Measurement Architecture for Embedded Memory Characterization. 10th IEEE European Test Symposium (ETS'05), Tallinn, Estonia. 21 - 24 May 2005. pp. 128-133 .

Wilcock, Reuben, Al-Hasmimi, Bashir M. and Wilson, Peter (2005) Integrated High Bandwidth Wave Elliptic Low-Pass Switched-Current Filter in Digital CMOS Technology. Electronics Letters.

Ejlali, Alireza, Schmitz, Marcus, Al-Hashimi, Bashir M, Miremadi, Seyed Ghassem and Rosinger, Paul (2005) Energy Efficient SEU-Tolerance in DVS-Enabled Real-Time Systems through Information Redundancy. International Symposium on Low Power Electronics and Design (ISLPED 2005), San Diego, California, United States. 07 - 09 Aug 2005.

Merrett, Geoff V., Al-Hashimi, Bashir M., White, Neil M. and Harris, Nick R. (2005) Resource Aware Sensor Nodes in Wireless Sensor Networks. Sensors & their Applications XIII, Chatham Maritime, Kent. 05 - 07 Sep 2005. pp. 137-142 .

Varea, Mauricio, Al-Hashimi, Bashir M., Cortés, Luis A., Eles, Petru and Peng, Zebo (2005) Dual Flow Nets: Modelling the Control/Data-Flow Relation in Embedded Systems. ACM Transactions on Embedded Computing Systems.

Cai, Yuan, Schmitz, Marcus T., Al-Hashimi, Bashir M. and Reddy, Sudhakar M. (2005) Workload-Ahead-Driven Online Energy Minimization Techniques for Battery-Powered Embedded Systems with Time-Constraints. IFIP International Conference on Very Large Scale Integration (VLSI-SOC), Australia.

Tafaj, Enkelejda, Rosinger, Paul, Al-Hashimi, Bashir and Chakrabarty, Krisnendu (2005) Improving Thermal-Safe Test Scheduling for Core-Based Systems-on-Chip Using Shift Frequency Scaling. International Symposium on Defect and Fault Tolerance in VLSI Systems, Monterey, CA. 02 - 04 Oct 2005.

Wilson, Peter R., Brown, Andrew D., Wilcock, Reuben and Al-Hashimi, Bashir (2005) Behavioural modeling and simulation of a switch-current phase locked loop. IEEE International Behavioral Modeling and Simulation Conference, San Jose, United States.

Ogg, S and Al-Hashimi, B (2005) Improved Data Compression for Serial Interconnected Network on Chip through Unused Significant Bit Removal. 19th International Conference on VLSI Design, Hyderabad, India. 03 - 07 Jan 2006.

Cai, Yuan, Schmitz, Marcus, Ejlali, Alireza, Al-Hashimi, Bashir and Reddy, Sudhakar (2006) Cache Size Selection for Performance, Energy and Reliability of Time-Constrained Systems. 11th Asia and South Pacific Design Automation Conference (ASP-DAC 2006), Japan.

Wu, Dong, Al-Hashimi, Bashir M. and Schmitz, Marcus T. (2006) Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection. 11th Asia and South Pacific Design Automation Conference (ASP-DAC 2006), Japan.

Rosinger, Paul, Al-Hashimi, Bashir and Chakrabarty, Krishnendu (2005) Thermal-Safe Test Scheduling for Core-Based System-on-a-Chip Integrated Circuits. IEEE Transactions on Computer-Aided Design, 25 (11), 2502-2512.

DILILLO, L., ROSINGER, P., AL-HASHIMI, B. M. and GIRARD, P. (2006) Minimizing Test Power in SRAM through Reduction of Pre-charge Activity. DATE - Design Automation and Test in Europe, Munich, Germany. 06 - 10 Mar 2006.

Jafaipanah, Mehdi, Al-Hashimi, Bashir and White, Neil (2005) Dynamic sensor compensation using adaptive filter compatible with digital technology. IEEE Proceedings on Circuits, Devices and Systems, 152 (6), 745-751.

Ejlali, Ali, Al-Hashimi, Bashir M., Schmitz, Marcus, Rosinger, Paul and Miremadi, Seyed G. (2006) Combined Time and Information Redundancy for SEU-Tolerance in Energy-Efficient Real-Time Systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Collins, Matthew and Al-Hashimi, Bashir M. (2006) On-chip time measurement architecture with femtosecond timing resolution. 11th IEEE European Test Symposium (ETS'06), , Southampton, United Kingdom. 20 - 24 May 2006. 6 pp . (doi:10.1109/ETS.2006.36).

Zhang, Zhuo, M. Reddy, Sudhakar, Pomeranz, Irith, Rajski, Janusz and M. Al-Hashimi, Bashir (2006) Enhancing delay fault coverage through low power segmented scan. In Eleventh IEEE European Test Symposium, 2006. ETS '06. IEEE.. (doi:10.1109/ETS.2006.18).

Wuertemberger, Armin, Rosinger, Paul, Al-Hashimi, Bashir and Chakrabarty, Krishnendu (2006) Cost Model-Driven Test Resource Partitioning for SoCs. Electronics Letters.

Collins, Matthew, Al-Hashimi, Bashir and Wilson, Peter (2006) On-chip timing measurement architecture with femtosecond resolution. Electronics Letters, 42 (9), 528-530.

Zain Ali, Noohul Basheer, Zwolinski, Mark, Al-Hashimi, Bashir M and Harrod, Peter (2006) Dynamic Voltage Scaling Aware Delay Fault Testing. European Test Symposium, Southampton. 20 - 24 May 2006.

Merrett, G. V., Harris, N. R., Al-Hashimi, B. M. and White, N. M. (2006) Rule Managed Reporting in Energy Controlled Wireless Sensor Networks. Eurosensors XX, Gothenburg, Sweden. 16 - 19 Sep 2006. pp. 402-403 .

Dilillo, Luigi, Rosinger, Paul, Al-Hashimi, Bashir M. and Girard, Patrick (2006) Reducing Power Dissipation in SRAM during Test. Journal of Low Power Electronics.

Cai, Yuan, Schmitz, Marcus, Al-Hashimi, Bashir M. and Reddy, Sudhakar M. (2006) Workload-Ahead-Driven Online Energy Minimization Techniques for Battery-Powered Embedded Systems with Time-Constraints. ACM Transactions on Design Automation of Electronic Systems.

Zhiyuan, He, Peng, Zebo, Eles, Petru, Rosinger, Paul and Al-Hashimi, Bashir M. (2006) Thermal-aware SoC test scheduling with test set partitioning and interleaving. ”, International Symposium on Defect and Fault Tolerance in VLSI System, Washington DC. 02 - 03 Oct 2006.

Merrett, Geoff V., Weddell, Alex S., Harris, Nick R., White, Neil M. and Al-Hashimi, Bashir M. (2006) The Unified Framework for Sensor Networks: A Systems Approach University of Southampton

Merrett, Geoff V., Harris, Nick R., Al-Hashimi, Bashir M. and White, Neil M. (2006) Energy Controlled Reporting for Industrial Monitoring Wireless Sensor Networks. IEEE Sensors 2006, Daegu, Korea. 21 - 24 Oct 2006. pp. 892-895 .

Wilson, Peter R, Al Hashimi, Bashir, Brown, Andrew D and Zwolinski, Mark (2006) A Masters Course in System on Chip. European Workshop on Microelectronics Education, Stockholm. pp. 11-14 .

Ochoa-Montiel, M. A., Al-Hashimi, B.M. and Kollig, P. (2007) Exploiting Power-Area Tradeoffs in Behavioural Synthesis through clock and operations throughput selection. ASPDAC, Japan. 23 - 27 Jan 2007.

Dilillo, Luigi, Hashimi, B. M., Rosinger, Paul and Girard, Patrick (2006) Leakage Read Fault in Nanoscale SRAM: Analysis, Test and Diagnosis. International Design and Test Workshop, Duday. 19 - 20 Nov 2006.

Ejlali, Alireza, Al-Hashimi, Bashir M., Rosinger, Paul and Miremadi, Seyed Ghassem (2007) Joint Consideration of Fault-Tolerance, Energy-Efficiency and Performance in on-Chip Networks. Design, Automation and Test in Europe (DATE07), , Nice, France. 15 - 19 Apr 2007.

Andrei, Alexandru, Eles, Pertu, Peng, Zebo, Schmitz, Marcus and Al-Hashimi, Bashir M. (2007) Energy optimization of multiprocessor systems on chip by voltage selection. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15 (3), 262-275. (doi:10.1109/TVLSI.2007.891101).

Zhiyuan, He, Peng, Zepo, Eles, Petru, Rosinger, Paul and Al-Hashimi, Bashir M. (2007) Thermal-Aware Soc Test Scheduling with Test Set Partitioning and Interleaving. JETTA.

Zhang, Zhuo, Reddy, Sudhakar M., Pomeranz, Irith, Rajski, Janusz and Al-Hashimi, Bashir M. (2007) Enhancing delay fault coverage through low power segmented scan. IEE Proceedings: Computer and Digital Techniques.

Dilillo, L and Al-Hashimi, B M (2007) March CRF: an Efficient Test for Complex Read Faults in SRAM Memories. X Workshop on Design and Diagnostics of Electronic Circuits and Systems, Krakow, Poland. 10 - 12 Apr 2007.

Ali, Haider and Al-Hashimi, Bashir M. (2007) Architecture level power-performance trade-offs for pipelined design. IEEE Symposium on Circuits and Systems (ISCAS 07), , New Orleans, United States. 26 - 29 May 2007.

Ogg, S, Valli, E, D'Allesandro, C, Yakovlev, A, Al-Hashimi, B and Benini, L (2007) Reducing Interconnect Cost in NoC through Serialized Asynchronous Links. The 1st ACM/IEEE International Symposium on Networks-on-Chip, Princeton, NJ. 05 - 08 May 2007.

Wang, Leran, Kazmierski, Tom, Al-Hashimi, Bashir, Beeby, Steve and Torah, Russel (2007) An Integrated Approach to Energy Harvester Modeling and Performance Optimization. IEEE Behavioral Modeling and Simulation Conference (BMAS 2007), San Jose, California, United States. 19 - 20 Sep 2007. pp. 121-125 .

Wang, Leran, Kazmierski, Tom, Al-Hashimi, Bashir, Beeby, Steve and Torah, Russel (2008) Integrated approach to energy harvester mixed technology modelling and performance optimisation. Design, Automation and Test in Europe (DATE 2008), Munich, Germany. 10 - 14 Mar 2008.

Kazmierski, Tom, Zhou, Dafeng and Al-Hashimi, Bashir (2008) Efficient circuit-level modelling of ballistic CNT using piecewise non-linear approximation of mobile charge density. DATE08. pp. 146-151 .

Ogg, Simon, Valli, Enrico, Al-Hashimi, Bashir, Yakovlev, Alex, D'Alessandro, Crescenzo and Benini, Luca (2008) Serialized Asynchronous Links for NoC. DATE, Munich.

Khursheed, Saqib, Ingelsson, Urban, Rosinger, Paul, Al-Hashimi, Bashir and Harrod, Peter (2008) Bridging fault test method with adaptive power management awareness. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27 (6), 1117-1127. (doi:10.1109/TCAD.2008.923247).

Merrett, Geoff V., Harris, Nick R., Al-Hashimi, Bashir M. and White, Neil M. (2008) Energy managed reporting for wireless sensor networks. Sensors and Actuators A: Physical, 142 (1), 379-389. (doi:10.1016/j.sna.2007.04.040).

Zain Ali, Noohul Basheer, Zwolinski, Mark and Al-Hashimi, Bashir (2007) Testing of Level Shifters in Multiple Voltage Designs. 14th IEEE International Conference on Electronics, Circuits and Systems, Morocco. 10 - 13 May 2007.

Weddell, Alexander S., Merrett, Geoff V., Harris, Nick R. and Al-Hashimi, Bashir M. (2008) Energy Harvesting and Management for Wireless Autonomous Sensors. Measurement + Control, 41 (4), 104-108.

Darbari, Ashish and Al-Hashimi, Bashir (2008) Symbolic Simulation based Transient Fault Injection Methodology s.n.

Shafik, Rishad Ahmed, Rosinger, Paul and Al-Hashimi, Bashir M. (2008) MPEG-based Performance Comparison between Network-on-Chip and AMBA MPSoC. 2008 IEEE Design and Diagnostics of Electronic Circuits and Systems, Bratislava, Slovakia. 15 - 17 Apr 2008. pp. 98-103 .

Shafik, Rishad, Rosinger, Paul and Al-Hashimi, Bashir (2008) SystemC-based Minimum Intrusive Fault Injection Technique with Improved Fault Representation. International On-line Test Symposium (IOLTS), Rhodes, Greece. 06 - 08 Jul 2008. pp. 99-104 . (Submitted)

Maunder, R. G., Weddell, A. S., Merrett, G. V., Al-Hashimi, B. M. and Hanzo, L. (2008) Iterative Decoding for Redistributing Energy Consumption in Wireless Sensor Networks. International Conference on Computer Communications and Networks, St. Thomas, U.S. Virgin Islands. 02 - 06 Aug 2008.

Shafik, Rishad Ahmed, Rosinger, Paul and Al-Hashimi, Bashir M. (2008) SystemC-based Fault Injection Technique with Improved Fault Representation. European Test Symposium (ETS), Italy. 24 - 27 May 2008.

Merrett, Geoff V., Weddell, Alexander S., Lewis, Adam P., Harris, Nick R., Al-Hashimi, Bashir M. and White, Neil M. (2008) An Empirical Energy Model for Supercapacitor Powered Wireless Sensor Nodes. 17th International IEEE Conference on Computer Communications and Networks, St Thomas, Virgin Islands (), United States. 02 - 06 Aug 2008.

Merrett, Geoff V, Weddell, Alex S., Harris, Nick R, Al-Hashimi, Bashir M and White, Neil M (2008) A Structured Hardware/Software Architecture for Embedded Sensor Nodes. 17th International Conference on Computer Communications and Networks, St Thomas, Virgin Islands (), United States. 02 - 06 Aug 2008.

Wilson, Peter, McNally, Iain, Swabey, Matthew and Al-Hashimi, Bashir (2008) IC Design and Manufacture for Undergraduates: Theory, Design and Practice. 7th European Workshop on Microelectronics Education, Budapest, Hungary. pp. 22-23 .

Darbari, Ashish, Al-Hashimi, Bashir, Harrod, Peter and Bradley, Daryl (2008) A New Approach for Transient Fault Injection using Symbolic Simulation. IOLTS 2008.

Merrett, Geoff V., Weddell, Alex S., Berti, Luca, Harris, Nick R., White, Neil M. and Al-Hashimi, Bashir M. (2008) A Wireless Sensor Network for Cleanroom Monitoring. Eurosensors 2008, Dresden, Germany. 06 - 10 Sep 2008. pp. 1553-1556 .

Ejlali, Alireza, Al-Hashimi, Bashir M., Rosinger, Paul, Miremadi, Seyed Ghassem and Benini, Luca (2010) Performability/energy trade-off in error-control schemes for on-chip networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18 (1), 1-14. (doi:10.1109/TVLSI.2008.2000994).

Wilson, Peter, Wilcock, Reuben, McNally, Iain, Swabey, Matthew and Al-Hashimi, Bashir (2008) The Superchip: Innovative Teaching of IC Design and Manufacture. Custom Integrated Circuits Conference, San Jose, United States.

El Shabrawy, Karim, Maharatna, Koushik, Bagnall, Darren and Al-Hashimi, Bashir (2008) A new analytical model for predicting SWCNT band-gap from geometric properties. International Conference on IC design and technology (ICICDT 2008), Grenoble, France. 01 - 03 Jun 2008. pp. 211-214 .

Darbari, Ashish, Al-Hashimi, Bashir, Harrod, Peter and Bradley, Daryl (2008) A Novel Transient Fault Injection Methodology Based on STE Model Checking. European Test Symposium (ETS), Italy. 24 - 27 May 2008.

Mishra, Biswajit and M. Al-Hashimi, Bashir (2008) Subthreshold FIR Filter Architecture for Ultra Low Power Applications. In LNCS. Springer. pp. 1-10 .

Maharatna, Koushik, El Shabrawy, Karim and Al-Hashimi, Bashir (2008) Reduced Z-datapath CORDIC rotator. IEEE ISCAS, Seattle, Washington, United States. pp. 3374-3377 .

Darbari, Ashish and Al-Hashimi, Bashir (2008) Hardware Dependability in the Presence of Soft Errors. BCS Visions of Computer Science, Imperial College, London, United Kingdom. 21 - 23 Sep 2008. (Submitted)

Ingelsson, Urban, Al-Hashimi, Bashir M. and Harrod, Peter (2008) Variation aware analysis of bridging fault testing. 17th Asian Test Symposium, , Sapporo, Japan. 24 - 27 Nov 2008. 6 pp .

Ogg, Simon, Al-Hashimi, Bashir and Yakovlev, Alex (2008) Asynchronous Transient Resilient Links for NoC. CODES+ISSS, Atlanta. 18 - 23 Oct 2008.

Mishra, Biswajit, Wilson, Peter and Al-Hashimi, Bashir (2008) Advancement in Color Image Processing using Geometric Algebra. EUSIPCO.

Mishra, B, Al-Hashimi, Bashir and Zwolinski, Mark (2009) Variation Resilient Adaptive Controller for Subthreshold Circuits. Design, Automation & Test in Europe Conference & Exhibition (DATE '09), , Nice, France. 20 - 24 Apr 2009. (doi:10.1109/DATE.2009.5090648).

Spikings, Stephen, Al-Hashimi, Bashir and Harris, Nick (2008) Unobtrusive Welfare Monitoring System. (In Press)

Darbari, Ashish, Al Hashimi, Bashir M, Flynn, David and Biggs, John (2009) Selective State Retention Design using Symbolic Simulation. DATE 2009, , Nice, France. 19 - 23 Apr 2009. (doi:10.1109/DATE.2009.5090927).

Khursheed, Syed Saqib, Al-Hashimi, Bashir, Reddy, Sudhakar M. and Harrod, Peter (2009) Diagnosis of Multiple-Voltage Design with Bridge Defect. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (3), 406-416.

Acharyya, Amit, Maharatna, Koushik and M. Al Hashimi, Bashir (2008) Hardware Development for Pervasive Healthcare Systems: Current Status and Future Directions. 2008 IEEE Asia Pacific Conference on Circuits and Systems, Macao, China. 30 Nov - 03 Dec 2008. pp. 1304-1307 .

Khursheed, Syed Saqib, Al-Hashimi, Bashir and Harrod, Peter (2009) Test Cost Reduction for Multiple-Voltage Designs with Bridge Defects through Gate-Sizing. Design, Automation and Test in Europe, Nice, France. (Submitted)

Wang, Leran, Kazmierski, Tom, Al-Hashimi, Bashir, Beeby, Steve and Zhu, Dibin (2009) An automated design flow for vibration-based energy harvester systems. Design, Test and Automation in Europe (DATE 2009), Nice, France. 19 - 23 Apr 2009. pp. 1391-1396 . (In Press)

Kazmierski, Tom, Zhou, Dafeng and Al-Hashimi, Bashir (2007) A Fast, Numerical Circuit-Level Model of Carbon Nanotube Transistor. Nanoscale Architectures, 2007. NANOSARCH 2007. IEEE International Symposium on, San Jose, CA. 20 - 21 Oct 2007. pp. 33-37 .

Zhou, Dafeng, Kazmierski, Tom and Al-Hashimi, Bashir (2008) VHDL-AMS implementation of a numerical ballistic CNT model for logic circuit simulation. Specification, Verification and Design Languages, 2008. FDL 2008. Forum on, Stuttgart. 22 - 24 Sep 2008. pp. 94-98 .

Zhou, Dafeng, Kazmierski, Tom and Al-Hashimi, Bashir (2009) VHDL–AMS implementation of a numerical ballistic CNT model. In, Radetski, Martin (ed.) Languages for Embedded Systems and their Applications. (Lecture Notes in Electrical Engineering, 36) Heidelberg, DE. Springer, pp. 87-100.

Kazmierski, Tom, Zhou, Dafeng, Al-Hashimi, Bashir and Ashburn, Peter (2010) Numerically efficient modeling of CNT transistors with ballistic and non-ballistic effects for circuit simulation. IEEE Transactions on Nanotechnology, 9 (1), 99-107. (doi:10.1109/TNANO.2009.2017019).

Ingelsson, Urban, Al-Hashimi, Bashir M., Khursheed, Saqib, Reddy, Sudhakar M. and Harrod, Peter (2009) Process variation-aware test for resistive bridges. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28 (8), 1269-1274. (doi:10.1109/TCAD.2009.2021728).

Acharyya, Amit, Maharatna, Koushik, Al-Hashimi, Bashir and Gunn, Steve (2009) Memory Reduction Methodology for Distributed-Arithmetic-Based DWT/IDWT Exploiting Data Symmetry. IEEE Transactions on Circuits and Systems II: Express Briefs, 56 (4), 285-289.

Merrett, Geoff, White, Neil, Harris, Nick and Al-Hashimi, Bashir (2009) Energy-Aware Simulation for Wireless Sensor Networks. Sixth Annual IEEE Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks (SECON 2009), Rome, Italy. 21 - 25 Jun 2009.

Shafik, Rishad Ahmed and Al-Hashimi, Bashir M. (2009) Comparative Reliability Analysis between AMBA and Network-on-Chip: An MPEG-2 Case Study. 22nd International System-on-Chip Conference (SOCC), Belfast, Northern Ireland, Belfast, United Kingdom. 08 - 10 Sep 2009. pp. 247-250 . (Submitted)

Shafik, Rishad Ahmed, Al-Hashimi, Bashir M., Kundu, Sandip and Ejlali, Alireja (2009) Soft error-aware voltage scaling technique for power minimization in application-specific MPSoC. Journal of Low Power Electronics, 5 (2), 145-156. (doi:10.1166/jolpe.2009.1016).

Khursheed, Syed Saqib and Al-Hashimi, Bashir (2009) Test Strategies for Multi-Voltage Designs. In, Girard, Patrick, Nicolici, Nicola and Wen, Xiaoqing (eds.) Power-Aware Testing and Test Strategies for Low Power Devices. Springer.

Li, Liang, Maunder, Robert G., Al-Hashimi, Bashir M. and Hanzo, Lajos (2010) An energy-efficient error correction scheme for IEEE 802.15.4 wireless sensor networks. IEEE Transactions on Circuits and Systems II: Express Briefs, 57 (3), 233-237. (doi:10.1109/TCSII.2010.2043379).

Acharyya, Amit, Maharatna, Koushik and Al-Hashimi, Bashir (2009) Hardware reduction methodology for 2-dimensional Kurtotic Fast ICA based on algorithmic analysis and architectural symmetry. IEEE Workshop on Signal Processing Systems, Tampere, Finland. 06 - 08 Oct 2009.

Srivastava, Saket, Melouki, Aissa and Al-Hashimi, Bashir (2009) Repair Techniques for Hybrid Nano/CMOS Computational Architecture. IEEE Conference on Nanotechnology, IEEE NANO 2009, Genoa, Italy. 25 - 30 Jul 2009.

Srivastava, Saket, Melouki, Aissa and Al-Hashimi, Bashir (2009) Defect Tolerance in Hybrid nano/CMOS Architecture using Tagging Mechanism. IEEE/ACM Symposium on Nanoscale Architectures, San Francisco, United States. 29 - 30 Jul 2009.

El Shabrawy, K., Maharatna, K., Bagnall, D. and Al-Hashimi, B.M. (2010) Modeling SWCNT bandgap and effective mass variation using a Monte Carlo approach. IEEE Transactions on Nanotechnology, 9 (2), 184-193. (doi:10.1109/TNANO.2009.2028343).

El-Maleh, Aiman, Al-Hashimi, Bashir and Melouki, Aissa (2009) Defect Tolerant N2-Transistor Structure for Reliable Nanoelectronic Designs , IET Computers & Digital Techniques (Submitted)

Kazmierski, Tom, Zhou, Dafeng and Al-Hashimi, Bashir (2009) HSPICE implementation of a numerically efficient model of CNT transistor. Forum on Specification and Design Languages (FDL 2009), Germany. 21 - 23 Sep 2009. (Submitted)

Ejlali, Alireza, Al-Hashimi, Bashir and Eles, Petru (2009) A standby-Sparing Technique with Low Energy-Overhead for Fault-Tolerant Hard Real-Time Systems. International Conference on Hardware/Software Codesign and System Synthesis, Grenoble, France. 10 - 15 Oct 2009.

Melouki, Aissa, Srivastava, Saket and Al-Hashimi, Bashir (2009) Fault Tolerance Techniques for Hybrid CMOS/Nano Architecture. IET Computers & Digital Techniques. (Submitted)

Acharyya, Amit, Maharatna, Koushik, Sun, Jinhong, Al-Hashimi, Bashir and Gunn, Steve (2009) Hardware Efficient Fixed-Point VLSI Architecture for 2D Kurtotic FastICA. 19th European Conference on Circuit Theory and Design, Antalya, Turkey. 22 - 26 Aug 2009. pp. 165-168 .

Shafik, Rishad Ahmed, Al-Hashimi, Bashir M. and Chakrabarty, Krish (2010) Soft Error-Aware Design Optimization of Low Power and Time-Constrained Embedded Systems. Design, Automation and Test in Europe (DATE), Dresden, Germany.

Yang, Sheng, Al-Hashimi, Bashir, Flynn, David and Khursheed, Saqib (2010) Scan based methodology for reliable state retention power gating designs. Design, Automation and Test in Europe, Dresden, Germany. 08 Mar 2010. pp. 69-74 .

Ali, Mustafa, Al-Hashimi, Bashir, Recas, Joaquin and Atienza, David (2010) Evaluation and Design Exploration of Solar Harvested-Energy Prediction Algorithm. DATE 2010 - Design Automation and Test in Europe 2010, Dresden, Germany. 08 - 12 Mar 2010. (Submitted)

El Shabrawy, Karim, Maharatna, Koushik and Al-Hashimi, Bashir (2009) Exploiting SWCNT Structural Variability Towards the Development of a Photovoltaic Device. International Symposium on Integrated Circuits (ISIC2009), Singapore.

Srivastava, Saket, Melouki, Aissa and Al-Hashimi, Bashir (2011) Tagged repair techniques for defect tolerance in hybrid nano/CMOS architecture. IEEE Transactions on Nanotechnology, 10 (3), 424-432. (doi:10.1109/TNANO.2010.2045393).

Acharyya, Amit, Maharatna, Koushik and Al-Hashimi, Bashir (2010) Co-ordinate Rotation Based Low Complexity 2D FastICA Algorithm and Architecture. International Conference on Green Circuits and Systems (ICGCS), Shanghai, China. 20 - 22 Jun 2010. (Submitted)

Khursheed, Syed Saqib, Al-Hashimi, Bashir, Chakrabarty, Krishnendu and Harrod, Peter (2010) Gate-sizing-based single Vdd test for bridge defects in multi-voltage designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29 (9), 1409-1421. (doi:10.1109/TCAD.2010.2059310).

Kazmierski, Tom, Zhou, Dafeng, Al-Hashimi, Bashir and Ashburn, Peter (2010) Numerically efficient modelling of CNT transistors with ballistic and non ballistic effects for circuit simulation. IEEE Transactions on Nanotechnology, 9 (1), 99-107.

Shafik, Rishad and Al-Hashimi, Bashir (2011) Reliability Analysis of On-Chip Communication Architectures: An MPEG-2 Video Decoder Case Study. Embedded Hardware Design (MICPRO), 35 (2), 285-296.

Li, Liang, Maunder, Robert G., Al-Hashimi, Bashir M. and Hanzo, Lajos (2010) Design of Fixed-Point Processing Based Turbo Codes Using Extrinsic Information Transfer Charts. IEEE Vehicular Technology Conference, Ottawa, Canada.

Acharyya, Amit, Tudugalle, Hasitha, Maharatna, Koushik, Al-Hashimi, Bashir and Gunn, Steve (2010) VLSI architecture for fetal ECG extraction for personalized healthcare application within resource constrained environmentT. Sixth UK Embedded Forum, University of Newcastle-upon-Tyne, United Kingdom. 29 - 30 Jun 2010.

Acharyya, Amit, Mondal, Sayanta, Maharatna, Koushik and Al-Hashimi, Bashir (2010) Automated and Robust Channel Identification Algorithm and Architecture to Solve Permutation Problem of ICA for Artifacts Removal from ECG in Remote Health Monitoring Environment. Sixth UK Embedded Forum, University of Newcastle-upon-Tyne, United Kingdom. 29 - 30 Jun 2010.

Acharyya, Amit, Maharatna, Koushik, Al-Hashimi, Bashir and Mondal, Sayanta (2010) Robust Channel Identification Scheme: Solving Permutation Indeterminacy of ICA for Artifacts Removal from ECG. 32nd Annual International IEEE EMBS Conference, Buenos Aires, Argentina. 30 Aug - 03 Sep 2010. (Submitted)

Khursheed, Syed Saqib, Zhong, Shida, Al-Hashimi, Bashir, Aitken, Robert and Kundu, Sandip (2010) Modeling the Impact of Process Variation on Resistive Bridge Defects. International Test Conference, Austin, Texas, United States.

Acharyya, Amit, Maharatna, Koushik and Al-Hashimi, Bashir (2011) Algorithm and architecture for N-D vector cross-product computation. IEEE Transactions on Signal Processing, 59 (2), 812-826. (doi:10.1109/TSP.2010.2090523).

Mistry, Jatin, Al-Hashimi, Bashir, Flynn, David and Hill, Stephen (2011) Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode. Design, Automation and Test in Europe, Grenoble, France. 14 - 18 Mar 2011.

Wang, Leran, Kazmierski, Tom, Al-Hashimi, Bashir, Weddell, Alex, Merrett, Geoff and Ayala Garcia, Ivo (2011) Accelerated simulation of tunable vibration energy harvesting systems using a linearised state-space technique. Design, Test and Automation in Europe (DATE 2011), Grenoble, France. 14 - 18 Mar 2011.

Weddell, Alex, Merrett, Geoff and Al-Hashimi, Bashir (2011) Ultra low-power photovoltaic MPPT technique for indoor and outdoor wireless sensor nodes. Design, Automation and Test in Europe (DATE), Grenoble, France. 14 - 18 Mar 2011. 4 pp .

Li, Liang, Maunder, Robert G., Al-Hashimi, Bashir and Hanzo, Lajos (2013) A low-complexity turbo decoder architecture for energy-efficient wireless sensor networks. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21 (1), 14-22. (doi:10.1109/TVLSI.2011.2177104).

Acharyya, Amit, Maharatna, Koushik, Al-Hashimi, Bashir and Tudugalle, Hasitha (2011) Simplified Logic Design Methodology for Fuzzy Membership Function based Robust Detection of Maternal Modulus Maxima Location : a Low Complexity Fetal ECG Extraction Architecture for Mobile Health Monitoring Systems. IEEE International Symposium on Circuits and Systems, Rio de Janeiro, Brazil. 14 - 17 May 2011.

Khursheed, Syed Saqib, Yang, Sheng, Al-Hashimi, Bashir, Huang, Xiaoyu and Flynn, David (2011) Improved DFT for Testing Power Switches. 16th IEEE European Test Symposium (ETS 2011), Trondheim, Norway. 22 - 26 May 2011.

De Jager, Dirk, Wood, Alex L., Merrett, Geoff V., Al-Hashimi, Bashir M., O'Hara, Kieron, Shadbolt, Nigel R. and Hall, Wendy (2011) A low-power, distributed, pervasive healthcare system for supporting memory. 1st ACM MobiHoc Workshop on Pervasive Wireless Healthcare (MobileHealth 2011), Paris, France.

Acharyya, Amit, Maharatna, Koushik, Al-Hashimi, Bashir and Reeve, Jeff (2011) Co-ordinate Rotation based Low Complexity N-D FastICA Algorithm and Architecture. IEEE Transactions on Signal Processing. (doi:10.1109/TSP.2011.2150219).

Acharyya, Amit, Maharatna, Koushik and Al-Hashimi, Bashir (2011) Predictive Algorithm Based Low Complexity 2D FastICA. UK Electronics Forum 2011, University of Manchester, Manchester, United Kingdom. 03 - 04 Jul 2011. (Submitted)

Zhong, Shida, Khursheed, Saqib and Al-Hashimi, Bashir (2011) A Fast and Accurate Process Variation-aware Modeling Technique for Resistive Bridge Defects. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (Submitted)

Zhong, Shida, Khursheed, Saqib, Al-Hashimi, Bashir, Reddy, Sudhakar and Chakrabarty, Krishnendu (2011) Analysis of Resistive Bridge Defect Delay Behavior in the Presence of Process Variation. Asian Test Symposium 2011, New Delhi, India. 21 - 23 Nov 2011. (In Press)

Yang, Sheng, Khursheed, Syed Saqib, Al-Hashimi, Bashir, Flynn, David and Idgunji, Sachin (2011) Reliable state retention-based embedded processors through monitoring and recovery. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 30 (12), 1773-1785.

Zhao, Yi, Khursheed, Saqib and Al-Hashimi, Bashir (2011) Cost-Effective TSV Grouping for Yield Improvement of 3D-ICs. ATS 2011, India. (Submitted)

Weddell, Alex, Merrett, Geoff V., Kazmierski, Tom and Al-Hashimi, Bashir (2011) Accurate supercapacitor modeling for energy-harvesting wireless sensor nodes. IEEE Transactions on Circuits and Systems II: Express Briefs, 58 (12), 911-915. (doi:10.1109/TCSII.2011.2172712).

Weddell, Alex S., Merrett, Geoff V. and Al-Hashimi, Bashir M. (2012) Photovoltaic sample-and-hold circuit enabling MPPT indoors for low-power systems. IEEE Transactions on Circuits and Systems I: Regular Papers, 59 (6), 1196-1204. (doi:10.1109/TCSI.2011.2173393).

Ejlali, Alireza, Al-Hashimi, Bashir and Eles, Petru (2012) Low-energy standby-sparing for hard real-time systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (3), Autumn Issue, 329-342. (doi:10.1109/TCAD.2011.2173488).

Kazmierski, Tom, Wang, Leran, Al-Hashimi, Bashir and Merrett, Geoff V. (2012) An explicit linearized state-space technique for accelerated simulation of electromagnetic vibration energy harvesters. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31 (4), 522-531. (doi:10.1109/TCAD.2011.2176124).

Wood, Alex L., Merrett, Geoff V., de Jager, Dirk, Al-Hashimi, Bashir M., O'Hara, Kieron, Shadbolt, Nigel R. and Hall, Wendy (2012) DejaView: Help with memory, when you need it. SenseCam 2012: Third Annual Symposium, Oxford, United Kingdom. 02 - 03 Apr 2012. 20 pp .

Kazmierski, Tom, Merrett, Geoff V., Wang, Leran, Al-Hashimi, Bashir, Weddell, Alex and Ayala Garcia, Ivo (2012) Modeling of Wireless Sensor Nodes Powered by Tunable Energy Harvesters: HDL-Based Approach. IEEE Sensors Journal, 12 (8), 2680-2689. (doi:10.1109/JSEN.2012.2196037).

Weddell, Alex S., Merrett, Geoff V., Barrow, Stuart and Al-Hashimi, Bashir M. (2012) Vibration-powered sensing system for engine condition monitoring. IET Wireless Sensor Systems 2012, London, United Kingdom. 17 - 18 Jun 2012. 5 pp .

Wood, Alex L., Merrett, Geoff V., Gunn, Steve R., Al-Hashimi, Bashir M., Shadbolt, Nigel R and Hall, Wendy (2012) Adaptive sampling in context-aware systems: a machine learning approach. IET Wireless Sensor Systems 2012, London, United Kingdom. 17 - 18 Jun 2012. 5 pp .

Acharyya, Amit, Maharatna, Koushik, Al-Hashimi, Bashir and Reeve, Jeff (2011) Coordinate rotation based low complexity N-D FastICA algorithm and architecture. IEEE Transactions on Signal Processing, 59 (8), 3997-4011. (doi:10.1109/TSP.2011.2150219).

Wang, Leran, Kazmierski, Tom, Al-Hashimi, Bashir, Aloufi, Mansour and Wenninger, Joseph (2012) Response-surface-based design space exploration and optimisation of wireless sensor nodes with tunable energy harvesters. DATE2012: Design, Test and Automation in Europe, Dresden, Germany. 12 - 16 Mar 2012.

Mistry, Jatin N., Biggs, John, Myers, James, Al-Hashimi, Bashir M. and Flynn, David (2012) dRail: a novel physical layout methodology for power gated circuits. Power and Timing Modelling, Optimization and Simulation (PATMOS) 2012, Newcastle upon Tyne, United Kingdom. 03 - 05 Sep 2012. 10 pp .

Weddell, Alex S., Zhu, Dibin, Merrett, Geoff V., Beeby, S.P. and Al-Hashimi, B.M. (2012) A practical self-powered sensor system with a tunable vibration energy harvester. PowerMEMS 2012, Atlanta, United States. 02 - 05 Dec 2012. 4 pp .

Perez-Andrade, I., Zuo, X., Maunder, R.G., Al-Hashimi, B.M. and Hanzo, L. (2013) Analysis of voltage- and clock-scaling-induced timing errors in stochastic LDPC decoders. 2013 IEEE Wireless Communications and Networking Conference (WCNC 2013), , Shanghai, China. 06 - 09 Apr 2013. pp. 4293-4298 . (doi:10.1109/WCNC.2013.6555268).

Li, L., Maunder, R.G., Al-Hashimi, B.M., Zwolinski, M. and Hanzo, L. (2013) Energy-conscious turbo decoder design: a joint signal processing and transmit energy reduction approach. IEEE Transactions on Vehicular Technology, 62 (8), 3627-3638.

Mistry, Jatin, Myers, James, Al-Hashimi, Bashir, Flynn, David, Biggs, John and Merrett, Geoff V. (2013) Active mode subclock power gating. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1-11. (doi:10.1109/TVLSI.2013.2280886).

Khursheed, Syed Saqib, Shi, Kan, Al-Hashimi, Bashir, Wilson, Peter R. and Chakrabarty, Krishnendu (2013) Delay test for diagnosis of power switches. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, n/a, 1-10. (doi:10.1109/TVLSI.2013.2239319).

Boettcher, Matthias, Gabrielli, Giacomo, Al-Hashimi, Bashir M. and Kershaw, Danny (2013) MALEC: a multiple access low energy cache. DATE2013: Design Automation and Test Conference in Europe, Grenoble, France. 19 - 22 Mar 2013. 6 pp .

Yang, Sheng, Khursheed, Saqib, Al-Hashimi, Bashir M., Flynn, David and Merrett, Geoff V. (2013) Improved state integrity of flip-flops for voltage scaled retention under PVT variation. IEEE Transactions on Circuits and Systems I: Regular Papers, 60 (11), 1-9. (doi:10.1109/TCSI.2013.2252640).

Weddell, Alexander S., Magno, Michele, Merrett, Geoff V., Brunelli, Davide, Al-Hashimi, Bashir and Benini, Luca (2013) A Survey of Multi-Source Energy Harvesting Systems. Design, Automation and Test in Europe (DATE), Grenoble, France. 18 - 22 Mar 2013. 4 pp . (doi:10.7873/DATE.2013.190).

Umoh, Ime, Kazmierski, Tomasz and Al-Hashimi, Bashir (2013) A dual-gate graphene FET model for circuit simulation - SPICE implementation. IEEE Transactions on Nanotechnology, 12 (3), 427-435. (doi:10.1109/TNANO.2013.2253490).

Kazmierski, Tom, Wang, Leran, Merrett, Geoff V., Al-Hashimi, Bashir and Aloufi, Mansour (2013) Fast design space exploration of vibration-based energy harvesting wireless sensors. IEEE Sensors Journal, 13 (11), 4393-4401. (doi:10.1109/JSEN.2013.2263792).

Kazmierski, Tom J., Wang, Leran, Al-Hashimi, Bashir and Merrett, Geoff V. (2013) DoE-based performance optimization of energy management in sensor nodes powered by tunable energy-harvesters. Design, Automation and Test in Europe (DATE 13), Grenoble, France. 18 - 22 Mar 2013. p. 484 . (doi:10.7873/DATE.2013.110).

Shafik, R.A., Al-Hashimi, B.M., Mathew, J., Pradhan, D.K. and Mohanty, S.P. (2012) RAEF: a power normalized system-level reliability analysis and estimation framework. IEEE Computer Society Annual Symposium on VLSI, Amherst, United States. 18 - 20 Aug 2012.

Shafik, Rishad Ahmed, Al-Hashimi, Bashir M. and Reeve, Jeff S. (2012) System-level design optimization of reliable and low power multiprocessor system-on-chip. Microelectronics Reliability, 52 (8), 1735-1748. (doi:10.1016/j.microrel.2012.03.002).

Beeby, S.P., Wang, Leran, Zhu, Dibin, Weddell, Alex, Merrett, Geoff V., Stark, Bernard, Szarka, Gyorgy and Al-Hashimi, Bashir M. (2013) A comparison of power output from linear and non-linear kinetic energy harvesters using real vibration data. Smart Materials and Structures, 22 (7), 75022. (doi:10.1088/0964-1726/22/7/075022).

Jalal, Asim, Gibbins, Nicholas, Millard, David and Al-Hashimi, Bashir (2012) Enabling the discovery of Adaptive Learning Resources for mobile learner. 11th World Conference on Mobile and Contextual Learning (mLearn 2012), Helsinki, Finland. 15 - 17 Oct 2012. 6 pp .

Jalal, Syed Asim, Gibbins, Nicholas M., Millard, David and Al Hashimi, Bashir (2013) Content-aware power saving multimedia adaptation for mobile learning. 7th International Conference on Next Generation Mobile Applications, Services, and Technologies (NGMAST' 2013), Prague, Czech Republic. 24 - 26 Sep 2013. 6 pp .

Bischoff, Sascha, Hansson, Andreas and Al-Hashimi, Bashir M. (2013) Applying quality of experience to system optimisation. 23th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2013), Karlsruhe, Germany. 08 - 10 Sep 2013. (Submitted)

Jalal, Syed Asim, Gibbins, Nicholas, Millard, David and Al-Hashimi, Bashir (2013) Energy-aware adaptation of educational multimedia in mobile learning. 11th International Conference on Advances in Mobile Computing & Multimedia (MoMM2013), Vienna, Austria. 02 - 04 Dec 2013.

Weddell, Alex S., Zhu, Dibin, Merrett, Geoff V., Beeby, Stephen P. and Al-Hashimi, Bashir M. (2013) Tunable vibration energy harvester. 1st International Workshop on Energy Neutral Sensing Systems (ENSsys 2013), Rome, Italy. 14 Nov 2013. (doi:10.1145/2534208.2534226).

Boettcher, Matthias, Al-Hashimi, Bashir M., Eyole, Mbou, Gabrielli, Giacomo and Reid, Alastair (2014) Advanced SIMD: extending the reach of contemporary SIMD architectures. Design, Automation, and Test in Europe Conference, DATE2014, Dresden, Germany. 24 - 28 Mar 2014. (In Press)

Kufel, Jedrzej, Wilson, Peter, Hill, Stephen, Al-Hashimi, Bashir, Whatmough, Paul N. and Myers, James (2014) Clock-modulation based watermark for protection of embedded processors. Design, Automation and Test in Europe, Dresden, Germany. 24 - 28 Mar 2014. 6 pp .

Das, Anup K., Shafik, Rishad Ahmed, Merrett, Geoff V., Al-Hashimi, Bashir M., Kumar, Akash and Veeravalli, Bharadwaj (2014) Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems. DAC2014: Design Automation Conference, San Francisco, United States. 31 May - 04 Jun 2014.

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2014) Design considerations for reliable embedded systems. In, Swingler, Jonathan (ed.) Reliability Characterisation of Electrical and Electronic Systems. (Woodhead Publishing Series in Electronic and Optical Materials, 74) London, GB. Woodhead Publishing.

Umoh, Ime Jarlath, Kazmierski, Tom and Al-Hashimi, Bashir (2014) Multi-layer graphene FET compact circuit-level model with temperature effects. IEEE Transactions on Nanotechnology, 13 (4), 805-813. (doi:10.1109/TNANO.2014.2323129).

Tenentes, Vasileios, Khursheed, Syed Saqib, Al-Hashimi, Bashir M., Zhong, Shida and Yang, Sheng (2014) High quality testing of grid style power gating. 23rd Asian Test Symposium (ATS), Hangzhou, China. 16 - 19 Nov 2014. pp. 1-6 . (doi:10.1109/ATS.2014.37).

Jalal, Syed Asim, Gibbins, Nicholas, Millard, David, Al-Hashimi, Bashir and Aljohani, Naif (2014) Learner-Battery Interaction in Energy-Aware Learning Multimedia Systems. The 13th International Conference on Mobile and Ubiquitous Multimedia 2014, Melbourne, Australia. 24 - 28 Nov 2014. (doi:10.1145/2677972.2678005).

Das, Anup K., Shafik, Rishad Ahmed, Merrett, Geoff V., Hashimi, B.M., Kumar, Akash and Veeravalli, Bharadwaj (2015) Workload uncertainty characterization and adaptive frequency scaling for energy minimization of embedded systems. Conference on Design, Automation & Test in Europe, Grenoble, France. 09 - 13 Mar 2015. 6 pp .

Jalal, Syed Asim, Gibbins, Nicholas, Millard, David, Al-Hashimi, Bashir and Aljohani, Naif R. (2014) Energy-Aware Streaming Multimedia Adaptation: An Educational Perspective. International Conference on Advances in Mobile Computing and Multimedia (MoMM2014), Kaohsiung City, Taiwan. 08 - 10 Dec 2014. 9 pp . (In Press)

Balsamo, Domenico, Weddell, Alex, Merrett, Geoff V., Al-Hashimi, Bashir M., Brunelli, Davide and Benini, Luca (2015) Hibernus: sustaining computation during intermittent supply for energy-harvesting systems. IEEE Embedded Systems Letters, 7 (1), 15-18. (doi:10.1109/LES.2014.2371494).

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2014) Learning-based runtime management of energy-efficient and reliable many-core systems. ESWEEK Workshop on Compiler Assisted SoC Assembly (CASA), Delhi, Union Territory of, India. 1 pp .

Maeda-Nunez, Luis Alfonso, Das, Anup K., Shafik, Rishad A., Merrett, Geoff V. and Al-Hashimi, Bashir (2015) PoGo: an application-specific adaptive energy minimisation approach for embedded systems. HiPEAC Workshop on Energy Efficiency with Heterogenous Computing (EEHCO). 19 - 21 Jan 2015. 6 pp .

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2015) Adaptive energy minimization of OpenMP parallel applications on many-core systems. 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures, Amsterdam, Netherlands. 21 Jan 2015. 6 pp .

Walker, Matthew J., Das, Anup K., Merrett, Geoff V. and Hashimi, B.M. (2015) Run-time power estimation for mobile and embedded asymmetric multi-core CPUs. HIPEAC Workshop on Energy Efficiency with Heterogenous Computing, Amsterdam, Netherlands. 19 - 21 Jan 2015. 6 pp .

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2015) Thermal-aware adaptive energy minimization of open MP parallel applications. DATE2015: Workshop on Designing with Uncertainty - Opportunities & Challenges in Conjunction with Design and Test in Europe (DATE) Conference, Grenoble, France. 09 - 13 Mar 2015. pp. 1-3 .

Kufel, Jedrzej, Wilson, Peter R., Hill, Stephen and Al-Hashimi, Bashir M. (2015) Sequence-aware watermark design for soft IP embedded processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Summer Issue, 1-14. (doi:10.1109/TVLSI.2015.2399457).

Das, Anup K., Walker, Mathew J., Merrett, Geoff V. and Hashimi, B.M. (2015) Reinforcement learning-based DPM-DVFS trade-off for thermal-aware power optimization of embedded systems. WIP at Design Automation Conference (DAC), San Francisco, United States. 06 - 10 Jun 2015. (In Press)

Hailes, Peter, Xu, Lei, Maunder, Robert G., Al-Hashimi, Bashir M. and Hanzo, Lajos (2015) A survey of FPGA-based LDPC decoders. IEEE Communications Surveys & Tutorials, 1-26. (doi:10.1109/COMST.2015.2510381).

Shafik, Rishad Ahmed, Yang, Sheng, Das, Anup K., Maeda-Nunez, Luis Alfonso, Merrett, Geoff V. and Al-Hashimi, Bashir (2016) Learning transfer-based adaptive energy minimization in embedded systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (6), 877-890, [7308001]. (doi:10.1109/TCAD.2015.2481867).

Rossi, Daniele, Tenentes, Vasileios, Khursheed, Saqib and Al-Hashimi, Bashir (2015) NBTI and leakage aware sleep transistor design for reliable and energy efficient power gating. In 20th IEEE European Test Symposium: ETS 2015. IEEE.. (doi:10.1109/ETS.2015.7138752).

Brejza, Matthew F., Zhang, Wenbo, Maunder, Robert G., Al-Hashimi, Bashir M. and Hanzo, Lajos (2015) Adaptive iterative detection for expediting the convergence of a serially concatenated unary error correction decoder, turbo decoder and an iterative demodulator. IEEE International Conference on Communications (ICC): "Smart City & Smart World", London, United Kingdom. 07 - 11 Jun 2015. 6 pp .

Perez Andrade, Isaac, Zhong, Shida, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2015) Supplemental data of Stochastic Computing Improves the Timing-Error Tolerance and Latency of Turbo Decoders: Design Guidelines and Trade-offs. University of Southampton doi:10.5258/SOTON/375728 [Dataset]

Das, Anup K., Walker, Matthew, Hansson, Andreas, Al-Hashimi, Bashir and Merrett, Geoff V. (2015) Hardware-software interaction for run-time power optimization: a case study of embedded linux on multicore smartphones. International Symposium on Low Power Electronics and Design, Rome, Italy. 21 - 23 Jul 2015.

Rossi, Daniele, Tenentes, Vasileios, Khursheed, Saqib and Al-Hashimi, Bashir M. (2015) BTI and leakage aware dynamic voltage scaling for reliable low power cache memories. In 2015 IEEE 21st International On-Line Testing Symposium (IOLTS). IEEE. 6 pp . (doi:10.1109/IOLTS.2015.7229858).

Tenentes, Vasileios, Rossi, Daniele, Khursheed, Saqib and Al-Hashimi, Bashir M. (2015) Diagnosis of power switches with power-distribution-network consideration. In 20th IEEE European Test Symposium: ETS 2015. IEEE. 6 pp . (doi:10.1109/ETS.2015.7138774).

Das, Anup, Walker, Matthew, Hansson, Andreas, Al-Hashimi, Bashir and Merrett, Geoffrey (2015) Hardware-Software Interaction for Run-time Power Optimization: A Case Study of Embedded Linux on Multicore Smartphones (Dataset). University of Southampton doi:10.5258/SOTON/377395 [Dataset]

Salehi, Mohammad, Ejlali, Alireza and Al-Hashimi, Bashir M. (2015) Two-phase low-energy N-modular redundancy for hard real-time multi-core systems. IEEE Transactions on Parallel and Distributed Systems, 1-14. (doi:10.1109/TPDS.2015.2444402).

Brejza, Matthew F., Li, Liang, Maunder, Robert G., Al-Hashimi, Bashir, Berrou, Claude and Hanzo, Lajos (2015) 20 years of turbo coding and energy-aware design guidelines for energy-constrained wireless applications. IEEE Communications Surveys & Tutorials, 18 (1), 8-28. (doi:10.1109/COMST.2015.2448692).

Tenentes, Vasileios, Khursheed, Saqib, Rossi, Daniele, Yang, Sheng and Al-Hashimi, Bashir M. (2015) DFT architecture with power-distribution-network consideration for delay-based power gating test. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1-12. (doi:10.1109/TCAD.2015.2446939).

Salehi Fathabadi, Asieh, Maeda-Nunez, Luis Alfonso, Butler, Michael, Al-Hashimi, Bashir and Merrett, Geoff (2015) Towards automatic code generation of run-time power management for embedded systems using formal methods. 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-15), Turin, Italy. 22 - 24 Sep 2015. 8 pp .

Yang, Sheng, Shafik, Rishad Ahmed, Merrett, Geoff V., Stott, Edward, Levine, Joshua, Davis, James and Al-Hashimi, Bashir (2015) Adaptive energy minimization of embedded heterogeneous system using regression-based learning. In 2015 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE. 8 pp . (doi:10.1109/PATMOS.2015.7347594).

Yang, Sheng, Shafik, Rishad Ahmed, Khursheed, Saqib, Flynn, David, Merrett, Geoff V. and Al-Hashimi, Bashir (2015) Application-specific memory protection policies for energy-efficient reliable design. IEEE International ESWEEK Symposium on Rapid System Prototyping, Amsterdam, Netherlands. 07 - 08 Oct 2015. 7 pp .

Savanth, Anand, Weddell, Alex, Myers, James, Flynn, David and Al-Hashimi, Bashir (2015) Photovoltaic cells for micro-scale wireless sensor nodes: measurement and modeling to assist system design. 3rd International Workshop on Energy Neutral Sensing Systems (ENSsys 2015), Seoul, Korea, Republic of. 6 pp . (In Press) (doi:10.1145/2820645.2820653).

Das, Anup, Al-Hashimi, Bashir and Merrett, Geoff (2016) Adaptive and hierarchical run-time manager for energy-aware thermal management of embedded systems. ACM Transactions on Embedded Computing Systems, 15 (2), [24]. (doi:10.1145/2834120).

Das, Anup, Al-Hashimi, Bashir and Merrett, Geoffrey (2015) Adaptive and Hierarchical Run-time Manager for Energy-Aware Thermal Management of Embedded Systems. University of Southampton doi:10.5258/SOTON/382855 [Dataset]

Das, Anup, Merrett, Geoffrey, Tribastone, Mirco and Al-Hashimi, Bashir (2015) Workload Change Point Detection for Run-time Thermal Management of Embedded Systems. University of Southampton doi:10.5258/SOTON/383667 [Dataset]

Das, Anup, Merrett, Geoffrey, Tribastone, Mirco and Al-Hashimi, Bashir (2015) Workload change point detection for run-time thermal management of embedded systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1-16. (In Press)

SHAFIK, RISHAD A, Yang, Sheng, Das, Anup, Maeda-Nunez, Luis, Alfonso, Merrett, Geoffrey and Al-Hashimi, Bashir (2015) Learning transfer-based adaptive energy minimization in embedded systems. University of Southampton doi:10.5258/SOTON/383899 [Dataset]

Das, Anup, Merrett, Geoff V. and Al-Hashimi, Bashir M. (2015) The Slowdown or Race-to-idle Question: Workload-Aware Energy Optimization of SMT Multicore Platforms under Process Variation. Conference on Design, Automation and Test in Europe 2016, Dresden, Germany. 14 - 18 Mar 2016. 4 pp . (In Press) (doi:10.5258/SOTON/404445).

Hailes, Peter, Xu, Lei, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2015) A survey of FPGA-based LDPC decoders. University of Southampton doi:10.5258/SOTON/384946 [Dataset]

Li, An, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) BER plot - FPTD vs. Log-BCJR. University of Southampton doi:10.5258/SOTON/385323 [Dataset]

Li, An, Xiang, Luping, Chen, Taihai, Maunder, Robert G., Al-Hashimi, Bashir M. and Hanzo, Lajos (2016) VLSI implementation of fully-parallel LTE turbo decoders. IEEE Access, 4, 323-346, [7378273]. (doi:10.1109/ACCESS.2016.2515719).

Zuo, Xin, Perez Andrade, Isaac, Maunder, Robert G., Al-Hashimi, Bashir and Hanzo, Lajos (2016) Improving the tolerance of stochastic LDPC decoders to overclocking-induced timing errors: a tutorial and design example. IEEE Access, 4, 1607-1629. (doi:10.1109/ACCESS.2016.2550179).

Perez-Andrade, Isaac, Zhong, Shida, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) Stochastic computing improves the timing-error tolerance and latency of turbo decoders: design guidelines and trade-offs. IEEE Access, 1-30. (doi:10.1109/ACCESS.2016.2523063). (In Press)

Balsamo, Domenico, Das, Anup, Weddell, Alex, Brunelli, Davide, Al-Hashimi, Bashir M., Merrett, Geoff V. and Benini, Luca (2016) Graceful performance modulation for power-neutral transient computing systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (5), 738-749, [7403941]. (doi:10.1109/TCAD.2016.2527713).

Rossi, Daniele, Tenentes, Vasileios, Yang, Sheng, Khursheed, Saqib and Al-Hashimi, Bashir (2016) Reliable power gating with NBTI aging benefits. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24 (8), 2735 - 2744. (doi:10.1109/TVLSI.2016.2519385).

Balsamo, Domenico, Das, Anup, Weddell, Alexander, Brunelli, Davide, Al-Hashimi, Bashir, Merrett, Geoffrey and Benini, Luca (2016) Dataset supporting the article entitled “Graceful performance modulation for power neutral transient computing systems". University of Southampton doi:10.5258/SOTON/386876 [Dataset]

ZUO, XIN, Perez Andrade, Isaac, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) Improving the Tolerance of Stochastic LDPC Decoders to Overclocking-Induced Timing Errors: A tutorial and Design Example. University of Southampton doi:10.5258/SOTON/388828 [Dataset]

Balsamo, Domenico, Weddell, Alexander, Das, Anup, Rodriguez Arreola, Alberto, Brunelli, Davide, Al-Hashimi, Bashir, Merrett, Geoffrey and Benini, Luca (2016) Data-set supporting the article entitled "Hibernus++: A Self-calibrating and Adaptive System for Transiently-Powered Embedded Devices". University of Southampton doi:10.5258/SOTON/389749 [Dataset]

Balsamo, Domenico, Weddell, Alex S., Das, Anup, Rodriguez Arreola, Alberto, Brunelli, Davide, Al-Hashimi, Bashir M., Merrett, Geoff V. and Benini, Luca (2016) Hibernus++: a self-calibrating and adaptive system for transiently-powered embedded devices. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 35 (12), 1968-1980. (doi:10.1109/TCAD.2016.2547919).

Rodriguez Arreola, Alberto, Balsamo, Domenico, Das, Anup, Weddell, Alex S., Brunelli, Davide, Al-Hashimi, Bashir and Merrett, Geoff (2015) Approaches to Transient Computing for Energy Harvesting Systems - A Quantitative Evaluation. ENSsys '15 Proceedings of the 3rd International Workshop on Energy Harvesting Energy Neutral Sensing Systems, Seoul, Korea, Republic of. 01 - 04 Nov 2015. pp. 3-8 . (doi:10.1145/2820645.2820652).

Rossi, Daniele, Tenentes, Vasileios, Yang, Sheng, Khursheed, Saqib and Al-Hashimi, Bashir (2017) Aging benefits in nanometer CMOS designs. IEEE Transactions on Circuits and Systems II: Express Briefs, 64 (3), 324-328. (doi:10.1109/TCSII.2016.2561206).

Walker, Matthew, Diestelhorst, Stephan, Hansson, Andreas, Das, Anup, Yang, Sheng, Al-Hashimi, Bashir and Merrett, Geoffrey (2016) Dataset supporting the article entitled "Accurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUs". University of Southampton doi:10.5258/SOTON/393673 [Dataset]

Walker, Matthew, Diestelhorst, Stephan, Hansson, Andreas, Das, Anup, Yang, Sheng, Al-Hashimi, Bashir M. and Merrett, Geoff V. (2017) Accurate and stable run-time power modeling for mobile and embedded CPUs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36 (1), 106-119. (doi:10.1109/TCAD.2016.2562920).

BREJZA, MATTHEW F, Wang, Tao, ZHANG, WENBO, Al-Khalili, David, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) Supplemental data of Exponential Golomb and Rice Error Correction Codes for Generalized Near-Capacity Joint Source and Channel Coding. University of Southampton doi:10.5258/SOTON/393928 [Dataset]

Brejza, Matthew, Wang, Tao, Zhang, Wenbo, Al-Khalili, David, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) Exponential Golomb and Rice Error Correction codes for generalized near-capacity joint source and channel coding. IEEE Access, 1-20. (doi:10.1109/ACCESS.2016.2584982).

Li, An, Maunder, Robert G., Al-Hashimi, Bashir and Hanzo, Lajos (2016) Implementation of a fully-parallel turbo decoder on a general-purpose graphics processing unit. IEEE Access, 1-20. (doi:10.1109/ACCESS.2016.2586309).

AL-DUJAILY, RAAED, Li, An, Maunder, Robert, Mak, Terrence, Al-Hashimi, Bashir and Hanzo, Lajos (2016) A Scalable Turbo Decoding Algorithm for High-Throughput Network-on-Chip Implementation. University of Southampton doi:10.5258/SOTON/397738 [Dataset]

Salehi, Mohammad, Ejlali, Alireza and Al-Hashimi, Bashir (2016) Dataset supporting the article entitled "Two-Phase Low-Energy N-Modular Redundancy for Hard Real-Time Multi-Core Systems". University of Southampton doi:10.5258/SOTON/397799 [Dataset]

Walker, Matthew, Diestelhorst, Stephan, Hansson, Andreas, Balsamo, Domenico, Merrett, Geoffrey and Al-Hashimi, Bashir (2016) Dataset supporting the paper entitled "Thermally-Aware Composite Run-Time CPU Power Models". University of Southampton doi:10.5258/SOTON/398554 [Dataset]

Walker, Matthew J., Diestelhorst, Stephan, Hansson, Andreas, Balsamo, Domenico, Merrett, Geoff V. and Al-Hashimi, Bashir M. (2016) Thermally-aware composite run-time CPU power models. International Workshop on Power And Timing Modeling, Optimization and Simulation (PATMOS 2016), Bremen, Germany. 20 - 22 Sep 2016. 8 pp . (In Press)

Li, An, Hailes, Peter, Maunder, Robert G., Al-Hashimi, Bashir M. and Hanzo, Lajos (2016) 1.5 Gbit/s FPGA implementation of a fully-parallel turbo decoder designed for mission-critical machine-type communication applications. IEEE Access, 1-1. (doi:10.1109/ACCESS.2016.2599408).

Chahal, Hardeep, Tenentes, Vasileios, Rossi, Daniele and Al-Hashimi, Bashir M. (2016) BTI aware thermal management for reliable DVFS designs. Defect and Fault Tolerance in VLSI and Nanotechnology Systems Symposium (DFT'16), Connecticut, United States. 18 - 19 Sep 2016. 6 pp .

Brejza, Matthew, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) Supplemental data of A High-Throughput FPGA Architecture for Joint Source and Channel Decoding. University of Southampton doi:10.5258/SOTON/400924 [Dataset]

Tenentes, Vasileios, Rossi, Daniele, Yang, Sheng, Khursheed, Saqib, Al-Hashimi, Bashir M. and Gunn, Steve R. (2017) Coarse-grained online monitoring of BTI aging by reusing power gating infrastructure. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25 (4), 1397-1407. (doi:10.1109/TVLSI.2016.2626218).

Tenentes, Vasileios, Rossi, Daniele, Yang, S, Khursheed, Saqib, Al-Hashimi, Bashir and Gunn, Stephen (2016) Data-set supporting the article entitled "Coarse-grained Online Monitoring of BTI Aging by Reusing Power Gating Infrastructure". University of Southampton doi:10.5258/SOTON/402489 [Dataset]

Brejza, Matthew, Maunder, Robert G., Al-Hashimi, Bashir and Hanzo, Lajos (2017) A flexible iterative receiver architecture for wireless sensor networks: a joint source and channel coding design example. IET Wireless Sensor Systems, 1-11. (doi:10.1049/iet-wss.2015.0139).

Al-Dujaily, Ra'ed, Li, An, Maunder, Robert G, Mak, Terrence, Al-Hashimi, Bashir M. and Hanzo, Lajos (2016) A scalable turbo decoding algorithm for high-throughput network-on-chip implementation. IEEE Access, 1-1. (doi:10.1109/ACCESS.2016.2628801).

Brejza, Matthew, Maunder, Rob, Al-Hashimi, Bashir and Hanzo, Lajos (2016) A high-throughput FPGA architecture for joint source and channel decoding. IEEE Access, 5, 2921-2944. (doi:10.1109/ACCESS.2016.2633441).

Brejza, Matthew, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2016) Supplemental data for flexible iterative receiver architecture for wireless sensor networks: a joint source and channel coding design example. University of Southampton doi:10.5258/SOTON/403404 [Dataset]

Merrett, Geoffrey and Al-Hashimi, Bashir (2016) Dataset supporting the article entitled "Energy-Driven Computing: Rethinking the Design of Energy Harvesting Systems". University of Southampton doi:10.5258/SOTON/404058 [Dataset]

Biswas, Dwaipayan, Balagopal, Vibishna, Shafik, Rishad, Ahmed, Al-Hashimi, Bashir and Merrett, Geoffrey (2016) Dataset supporting the article entitled "Machine Learning for Run-Time Energy Optimisation in Many-Core Systems". University of Southampton doi:10.5258/SOTON/404064 [Dataset]

Merrett, Geoff V and Al-Hashimi, Bashir B M (2017) Energy-Driven Computing: Rethinking the Design of Energy Harvesting Systems. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. IEEE. 6 pp . (doi:10.23919/DATE.2017.7927130).

Das, Anup, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "The Slowdown or Race-to-idle Question: Workload-Aware Energy Optimization of SMT Multicore Platforms under Process Variation". University of Southampton doi:10.5258/SOTON/404445 [Dataset]

Biswas, Dwaipayan, Balagopal, Vibishna, Shafik, Rishad, Al-Hashimi, Bashir B M and Merrett, Geoff V (2017) Machine Learning for Run-Time Energy Optimisation in Many-Core Systems. In 2017 Design, Automation & Test in Europe Conference & Exhibition (DATE). IEEE. 5 pp .

Savanth, Parameshwarappa, Myers, James, Weddell, Alex, Flynn, David and Al-Hashimi, Bashir (2017) A 0.68nW/kHz supply-independent relaxation oscillator with ±0.49%/V and 96ppm/C stability. International Solid-State Circuits Conference (ISSCC 2017), San Francisco, United States. 05 - 09 Feb 2017. 3 pp .

Savanth, Parameshwarappa, Weddell, Alex, Myers, James, Flynn, David and Al-Hashimi, Bashir (2017) A 50nW voltage monitor scheme for minimum energy sensor systems. 30th International Conference on VLSI Design (VLSID 2017), Hyderabad, India. 07 - 11 Jan 2017. 6 pp .

Hailes, Peter, Xu, Lei, Maunder, Robert, Hanzo, Lajos and Al-Hashimi, Bashir (2017) Research data for "A Flexible FPGA-Based Quasi-Cyclic LDPC Decoder". University of Southampton doi:10.5258/SOTON/405769 [Dataset]

Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoff V. and Al-Hashimi, Bashir M. (2017) ITMD: run-time management of concurrent multi-threaded applications on heterogeneous multi-cores. Conference on Design, Automation and Test in Europe 2017 (DATE'17), Swisstech, Lausanne, Switzerland. 26 - 30 Mar 2017. 1 pp .

Hailes, Peter, Xu, Lei, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2017) A flexible FPGA-based quasi-cyclic LDPC decoder. IEEE Access, 5, 20965-20984. (doi:10.1109/ACCESS.2017.2678103).

Balsamo, Domenico, Elboreini, Ali, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Dataset supporting the Paper titled: Exploring ARM mbed Support for Transient Computing in Energy Harvesting IoT Systems. University of Southampton doi:10.5258/SOTON/D0102 [Dataset]

Leech, Charles, Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Dataset for Learning-based Run-time Power and Energy Management of Multi/Many-core Systems: Current and Future Trends. University of Southampton doi:10.5258/SOTON/D0109 [Dataset]

Bantock, James, Robert Benjamin, Tenentes, Vasileios, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Online tuning of Dynamic Power Management for efficient execution of interactive workloads. In IEEE/ACM International Symposium on Low Power Electronics and Design. IEEE. 6 pp . (doi:10.1109/ISLPED.2017.8009195).

Balsamo, Domenico, Elboreini, Ali, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Exploring ARM mbed support for transient computing in energy harvesting IoT systems. 7th IEEE International Workshop on Advances in Sensors and Interfaces, 2017. 15 - 16 Jun 2017. (doi:10.1109/IWASI.2017.7974230).

Bantock, James, Robert Benjamin, Tenentes, Vasileios, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Dataset for Online Tuning of Dynamic Power Management for Efficient Execution of Interactive Workloads. University of Southampton doi:10.5258/SOTON/D0100 [Dataset]

Savanth, Parameshwarappa Anand, Kumar, Weddell, Alexander, Myers, James, Flynn, David and Al-Hashimi, Bashir (2017) Integrated reciprocal conversion with selective direct operation for energy harvesting systems. IEEE Transactions on Circuits and Systems I: Regular Papers, 64 (9), 2370-2379. (doi:10.1109/TCSI.2017.2707304).

Vougioukas, Ilias (2017) Complementary dataset to "Nucleus: Finding the sharing limit of heterogeneous cores". University of Southampton doi:10.5258/SOTON/D0161 [Dataset]

Singh, Amit, Prakash, Alok, Basireddy, Karunakar Reddy, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "Energy-Efficient Run-time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs". University of Southampton doi:10.5258/SOTON/D0164 [Dataset]

Vala, Charan Kumar, Leech, Charles and Merrett, Geoffrey (2017) High Speed Low Complexity Guided Image Filtering Based Disparity Estimation. University of Southampton doi:10.5258/SOTON/D0170 [Dataset]

Basireddy, Karunakar Reddy, Walker, Matthew, Balsamo, Domenico, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Dataset for Empirical CPU Power Modelling and Estimation in the gem5 Simulator. University of Southampton doi:10.5258/SOTON/D0173 [Dataset]

Tenentes, Vasileios, Rossi, Daniele, Khursheed, Saqib, Al-Hashimi, Bashir and Chakrabarty, Krishnendu (2017) Dataset for: Leakage Current Analysis for Diagnosis of Bridge Defects in Power-Gating Designs. University of Southampton doi:10.5258/SOTON/D0189 [Dataset]

Rossi, Daniele, Tenentes, Vasileios, Reddy, Sudhakar, Al-Hashimi, Bashir and Brown, Andrew (2018) Exploiting aging benefits for the design of reliable drowsy cache memories. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37 (7), 1345-1357. (doi:10.1109/TCAD.2017.2729399).

Tenentes, Vasileios, Rossi, Daniele, Khursheed, Saqib, Al-Hashimi, Bashir and Chakrabarty, Krishnendu (2018) Leakage current analysis for diagnosis of bridge defects in power-gating designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37 (4), 883-895. (doi:10.1109/TCAD.2017.2729462).

Singh, Amit, Prakash, Alok, Basireddy, Karunakar Reddy, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Energy efficient run-time mapping and thread partitioning of concurrent OpenCL applications on CPU-GPU MPSoCs. ACM Transactions on Embedded Computing Systems. (doi:10.1145/3126548).

Basireddy, Karunakar Reddy, Walker, Matthew, Balsamo, Domenico, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Empirical CPU power modelling and estimation in the gem5 simulator. In 2017 27th International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS). IEEE. pp. 1-8 . (doi:10.1109/PATMOS.2017.8106988).

Singh, Amit, Leech, Charles, Basireddy, Karunakar Reddy, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Learning-based run-time power and energy management of multi/many-core systems: current and future trends. Journal of Low Power Electronics. (doi:10.1166/jolpe.2017.1492).

Vougioukas, Ilias, Sandberg, Andreas, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoffrey (2017) Nucleus: finding the sharing limit of heterogeneous cores. ACM Transactions on Embedded Computing Systems, 16 (5s). (doi:10.1145/3126544).

Tenentes, Vasileios, Leech, Charles, Bragg, Graeme, Merrett, Geoffrey, Al-Hashimi, Bashir, Amrouch, Hussam, Henkel, Jörg and Das, Shidhartha (2017) Hardware and software innovations in energy-efficient system-reliability monitoring. In IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems. IEEE. 5 pp . (In Press) (doi:10.1109/DFT.2017.8244435).

Leech, Charles (2017) Dataset supporting the paper entitled "Run-time Performance and Power Optimization of a Parallel Disparity Estimation Algorithm on Many-Core Platforms". University of Southampton doi:10.5258/SOTON/D0221 [Dataset]

Leech, Charles, Vala, Charan Kumar, Acharyya, Amit, Yang, Sheng, Merrett, Geoffrey and Al-Hashimi, Bashir (2017) Run-time performance and power optimization of parallel disparity estimation on many-core platforms. ACM Transactions on Embedded Computing Systems. (In Press)

Vala, Charan Kumar, Immadisetty, Koushik, Acharyya, Amit, Leech, Charles, Balagopal, Vibishna, Merrett, Geoff V. and Al-Hashimi, Bashir (2018) High-speed low-complexity guided image filtering-based disparity estimation. IEEE Transactions on Circuits and Systems I: Regular Papers, 65 (2), 606-617. (doi:10.1109/TCSI.2017.2729084).

Basireddy, Karunakar Reddy, SINGH, AMIT K, Biswas, Dwaipayan, Merrett, Geoff and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "Inter-cluster Thread-to-core Mapping and DVFS on Heterogeneous Multi-cores". University of Southampton doi:10.5258/SOTON/D0249 [Dataset]

Weber Wachter, Eduardo and Singh, Amit (2017) Dataset for Reliable Mapping and Partitioning of Performance-constrained OpenCL Applications on CPU-GPU MPSoCs. University of Southampton doi:10.5258/SOTON/D0258 [Dataset]

Reddy, Basireddy Karunakar, Singh, Amit, Biswas, Dwaipayan, Merrett, Geoff and Al-Hashimi, Bashir (2017) Inter-cluster thread-to-core mapping and DVFS on heterogeneous multi-cores. IEEE Transactions on Multiscale Computing Systems, 1-14. (doi:10.1109/TMSCS.2017.2755619).

Weber Wachter, Eduardo, Merrett, Geoff V., Singh, Amit and Al-Hashimi, Bashir (2017) Reliable mapping and partitioning of performance-constrained OpenCL Applications on CPU-GPU MPSoCs. 15th IEEE/ACM Symposium on Embedded Systems for Real-Time Multimedia, , Seoul, Korea, Republic of. 14 - 20 Oct 2017. (doi:10.1145/3139315.3157088).

Sugiarto, Indar, Furber, Stephen, Shang, Delong, Singh, Amit, Ouni, Bassem, Merrett, Geoff and Al-Hashimi, Bashir (2018) Software-defined PMC for runtime power management of a many-core neuromorphic platform. In Proceedings of ICCES 2017 12th International Conference on Computer Engineering and Systems. vol. 2018-January, IEEE. pp. 641-646 . (doi:10.1109/ICCES.2017.8275383).

Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoff and Al-Hashimi, Bashir (2017) Dataset supporting the article entitled "Online Concurrent Workload Classification for Multi-core Energy Management". University of Southampton doi:10.5258/SOTON/D0308 [Dataset]

Basireddy, Karunakar Reddy, Singh, Amit, Merrett, Geoff and Al-Hashimi, Bashir (2018) Online concurrent workload classification for multi-core energy management. IEEE Design, Automation & Test in Europe, , Dresden, Germany. 19 - 23 Mar 2018. pp. 621-624 .

Salehi Fathabadi, Asieh, Butler, Michael J., Yang, Sheng, Maeda-Nunez, Luis, Bantock, James, Al-Hashimi, Bashir M. and Merrett, Geoff V. (2018) A model-based framework for software portability and verification in embedded power management systems. Journal of Systems Architecture, 82, 12-23. (doi:10.1016/j.sysarc.2017.12.001).

Hailes, Peter, Xu, Lei, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2018) Hardware-efficient node processing unit architectures for flexible LDPC decoder implementations. IEEE Transactions on Circuits and Systems II: Express Briefs, 1-6. (doi:10.1109/TCSII.2018.2807362).

Walker, Matthew, Bischoff, Sascha, Diestelhorst, Stephan, Merrett, Geoff and Al-Hashimi, Bashir (2018) Hardware-validated CPU performance and energy modelling. 2018 IEEE International Symposium on Performance Analysis of Systems and Software, Queens University, Belfast, United Kingdom. 02 - 03 Apr 2018. 10 pp . (doi:10.1109/ISPASS.2018.00013).

Walker, Matthew (2018) Dataset supporting the paper entitled "Hardware-Validated CPU Performance and Energy Modelling". Southampton, UK doi:10.5258/SOTON/D0420 [Dataset]

Basireddy, Karunakar Reddy, Weber Wachter, Eduardo, Al-Hashimi, Bashir and Merrett, Geoff (2018) Dataset for "Workload-Aware Runtime Energy Management for HPC Systems". University of Southampton doi:10.5258/SOTON/D0517 [Dataset]

Basireddy, Karunakar Reddy, Wachter, Eduardo W., Al-Hashimi, Bashir M. and Merrett, Geoff V. (2018) Workload-aware runtime energy management for HPC systems. In International Workshop on Optimization of Energy Efficient HPC & Distributed Systems (OPTIM 2018). 8 pp . (In Press)

Basireddy, Karunakar Reddy, Weber Wachter, Eduardo, Al-Hashimi, Bashir and Merrett, Geoff (2018) Dataset supporting the article entitled "Memory and Thread Synchronization Contention-Aware DVFS for HPC systems". University of Southampton doi:10.5258/SOTON/D0547 [Dataset]

Basireddy, Karunakar Reddy, Weber Wachter, Eduardo, Al-Hashimi, Bashir and Merrett, Geoff (2018) Memory and thread synchronization contention-aware DVFS for HPC systems. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018. 1 pp .

Walker, Matthew, Diestelhorst, Stephan, Merrett, Geoff and Al-Hashimi, Bashir (2018) Accurate and stable empirical CPU power modelling for multi- and many-core systems. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018.

Bragg, Graeme McLachlan, Leech, Charles R., Balsamo, Domenico, Davis, James J., Weber Wachter, Eduardo, Merrett, Geoff, Constantinides, George A. and Al-Hashimi, Bashir (2018) An application- and platform-agnostic control and monitoring framework for multicore systems. 3rd International Conference on Pervasive and Embedded Computing, , Porto, Portugal. 29 - 30 Jul 2018.

Leech, Charles R., Bragg, Graeme McLachlan, Balsamo, Domenico, Weber Wachter, Eduardo, Merrett, Geoff and Al-Hashimi, Bashir (2018) Application control and monitoring in heterogeneous multiprocessor systems. 13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, , Lille, France. 09 - 11 Jul 2018. 8 pp .

Vougioukas, Ilias, Sandberg, Andreas, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoff (2018) Will it blend? Merging heterogeneous cores. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018. 3 pp . (In Press)

Balsamo, Domenico, Fletcher, Benjamin, James, Weddell, Alexander, Karatziolas, Giorgos, Al-Hashimi, Bashir and Merrett, Geoff (2019) Power neutral performance scaling with intrinsic MPPT for energy harvesting computing systems. ACM Transactions on Embedded Computing Systems, 17 (6), 93:1-93:25, [93]. (doi:10.1145/3281300).

Balsamo, Domenico, Fletcher, Benjamin, James, Weddell, Alexander, Karatziolas, Giorgos, Al-Hashimi, Bashir and Merrett, Geoff (2018) Data-set supporting the article entitled "Power Neutral Performance Scaling with Intrinsic MPPT for Energy Harvesting Computing Systems". University of Southampton doi:10.5258/SOTON/D0679 [Dataset]

Vala, Charan Kumar, French, Mark, Acharyya, Amit and Al-Hashimi, Bashir (2019) Dataset for Low-Complexity Architecture for Cyber-Physical Systems Model Identification. University of Southampton doi:10.5258/SOTON/D0712 [Dataset]

Kollig, P. and Al-Hashimi, Bashir (1997) Simultaneous scheduling, allocation and binding in high level synthesis. Electronics Letters, 33 (18), 1516 - 1518. (doi:10.1049/el:19971039).

Vala, Charan Kumar, French, Mark, Acharyya, Amit and Al-Hashimi, Bashir (2018) Low-complexity architecture for cyber-physical systems model identification. IEEE Transactions on Circuits and Systems II: Express Briefs, 1-6. (doi:10.1109/TCSII.2018.2881481).

Vougioukas, Ilias, Sandberg, Andreas, Nikoleris, Nikos, Diestelhorst, Stephan, Al-Hashimi, Bashir and Merrett, Geoff (2018) BRB: mitigating branch predictor side-channels. International Symposium on High-Performance Computer Architecture, Washington DC, Washington DC, United States. 16 - 20 Feb 2019. 12 pp . (In Press)

Vougioukas, Ilias (2019) Complementary dataset to "BRB: Mitigating Branch Predictor Side-Channels.". University of Southampton doi:10.5258/SOTON/D0739 [Dataset]

Reddy Basireddy, Karunakar, Wachter, Eduardo Weber, Al-Hashimi, Bashir M. and Merrett, Geoff (2018) Workload-Aware runtime energy management for HPC Systems. In Proceedings - 2018 International Conference on High Performance Computing and Simulation, HPCS 2018. Institute of Electrical and Electronics Engineers Inc. pp. 292-299 . (doi:10.1109/HPCS.2018.00057).

Tenentes, Vasileios, Rossi, Daniele and Al-Hashimi, Bashir M. (2018) Collective-aware system-on-chips for dependable IoT applications. In 2018 IEEE 24th International Symposium on On-Line Testing and Robust System Design, IOLTS 2018. IEEE. pp. 57-60 . (doi:10.1109/IOLTS.2018.8474172).

Shao, Shuai, Hailes, Peter, Wang, Tsang-Yi, Wu, Jwo-Yuh, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2019) Survey of turbo, LDPC and polar decoder ASIC implementations. IEEE Communications Surveys and Tutorials. (doi:10.1109/COMST.2019.2893851).

Weber wachter, Eduardo, Bellefroid, Cédric de, Basireddy, Karunakar Reddy, Singh, Amit Kumar, Al-Hashimi, Bashir and Merrett, Geoff (2019) Dataset Supporting the article entitled "Predictive Thermal Management for Energy-efficient Execution of Concurrent Applications on Heterogeneous Multi-cores". University of Southampton doi:10.5258/SOTON/D0793 [Dataset]

Xiang, Luping, Brejza, Matthew, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2019) Dataset for Arbitrarily Parallel Turbo Decoding for Ultra-Reliable Low Latency Communication in 3GPP LTE. University of Southampton doi:10.5258/SOTON/D0791 [Dataset]

Wächter, Eduardo Weber, De Bellefroid, Cédric, Basireddy, Karunakar Reddy, Singh, Amit Kumar, Al-Hashimi, Bashir M. and Merrett, Geoff (2019) Predictive thermal management for energy-efficient execution of concurrent applications on heterogeneous multicores. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27 (6), 1404-1415, [8645825]. (doi:10.1109/TVLSI.2019.2896776).

Xiang, Luping, Brejza, Matthew, Maunder, Robert, Al-Hashimi, Bashir and Hanzo, Lajos (2019) Arbitrarily parallel turbo decoding for ultra-reliable low latency communication in 3GPP LTE. IEEE Journal on Selected Areas of Communications, 37 (4), 826-838. (doi:10.1109/JSAC.2019.2898654).

Das, Anup, Balsamo, Domenico, Merrett, Geoff, Al-Hashimi, Bashir and Catthoor, Francky (2018) Graceful performance adaption through hardware-software interaction for autonomous battery management of multicore smartphones. THE 9th International Green and Sustainable Computing Conference. 6 pp . (Submitted)

Walker, Matthew, James, Merrett, Geoff and Al-Hashimi, Bashir (2019) Power modelling of multicore systems. In, Al-Hashimi, Bashir M. and Merrett, Geoff (eds.) Many-Core Computing: Hardware and Software.

Singh, Amit Kumar, Dziurzanski, Piotr, Merrett, Geoff and Al-Hashimi, Bashir (2019) Tools and workloads for many-core computing. In, Al-Hashimi, Bashir M. and Merrett, Geoff V. (eds.) Many-Core Computing: Hardware and software. Institution of Engineering and Technology.

Aquino, Gibeon, Queiroz, Rafael, Merrett, Geoff and Al-Hashimi, Bashir (2019) The circuit breaker pattern targeted to future IoT applications. Yangui, S., Bouassida Rodriguez, I., Drira, K. and Tari, Z. (eds.) In Service-Oriented Computing. ICSOC 2019. vol. 11895, Springer. pp. 390-396 . (doi:10.1007/978-3-030-33702-5_30).

Basireddy, Karunakar Reddy, Singh, Amit K, Al-Hashimi, Bashir and Merrett, Geoffrey (2018) Dataset supporting the article entitled "AdaMD: Adaptive Mapping and DVFS for Energy-efficient Heterogeneous Multi-cores". University of Southampton doi:10.5258/SOTON/D1041 [Dataset]

Basireddy, Karunakar R., Singh, Amit Kumar, Al-Hashimi, Bashir and Merrett, Geoff V. (2019) AdaMD: Adaptive mapping and DVFS for energy-efficient heterogeneous multi-cores. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. (doi:10.1109/TCAD.2019.2935065).

Singh, Amit Kumar, Basireddy, Karunakar Reddy, Prakash, Alok, Merrett, Geoffrey and Al-Hashimi, Bashir (2019) Dataset supporting the article entitled "Collaborative Adaptation for Energy-Efficient Heterogeneous Mobile SoCs". University of Southampton doi:10.5258/SOTON/D1077 [Dataset]

Singh, Amit Kumar, Merrett, Geoff and Al-Hashimi, Bashir (2018) Adaptation in heterogeneous multi-core SoCs. Adaptive Many-Core Architectures and Systems Workshop, , York, United Kingdom. 13 - 15 Jun 2018. 3 pp .

Singh, Amit Kumar, Basireddy, Karunakar Reddy, Prakash, Alok, Merrett, Geoff and Al-Hashimi, Bashir (2020) Collaborative adaptation for energy-efficient heterogeneous mobile SoCs. IEEE Transactions on Computers, 69 (2), 185-197, [8859334]. (doi:10.1109/TC.2019.2943855).

Xun, Lei, Tran-Thanh, Long, Al-Hashimi, Bashir and Merrett, Geoff (2020) Optimising resource management for embedded machine learning. Di Natale, Giorgio, Bolchini, Cristiana and Vatajelu, Elena-Ioana (eds.) In Proceedings of the 2020 Design, Automation and Test in Europe Conference and Exhibition, DATE 2020. pp. 1556-1561 . (doi:10.23919/DATE48585.2020.9116235).

Xun, Lei (2019) Dataset for "Optimising Resource Management for Embedded Machine Learning". University of Southampton doi:10.5258/SOTON/D1154 [Dataset]

Xun, Lei (2020) Dataset for "Incremental Training and Group Convolution Pruning for Runtime DNN Performance Scaling on Heterogeneous Embedded Platforms". University of Southampton doi:10.5258/SOTON/D1245 [Dataset]

Xun, Lei, Tran-Thanh, Long, Al-Hashimi, Bashir and Merrett, Geoff (2020) Incremental training and group convolution pruning for runtime DNN performance scaling on heterogeneous embedded platforms. In 1st ACM/IEEE Workshop on Machine Learning for CAD (MLCAD 2019). pp. 1-6 .

Sliper, Sivert T., Cetinkaya, Oktay, Weddell, Alexander, Al-Hashimi, Bashir and Merrett, Geoff (2020) Energy-driven computing. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 378 (2164), 1-4, [20190158]. (doi:10.1098/rsta.2019.0158).

Singh, Amit Kumar, Dey, Somdip, Basireddy, Karunakar Reddy, McDonald-Maier, Klaus, Merrett, Geoff and Al-Hashimi, Bashir (2020) Dynamic energy and thermal management of multi-core mobile platforms: a survey. IEEE Design and Test, 37 (5), 25-33, [9044742]. (doi:10.1109/MDAT.2020.2982629).

Bantock, James, Robert Benjamin, Al-Hashimi, Bashir and Merrett, Geoff (2020) Dataset for Mitigating Interactive Performance Degradation from Mobile Device Thermal Throttling. University of Southampton doi:10.5258/SOTON/D1351 [Dataset]

Bantock, James, Robert Benjamin, Al-Hashimi, Bashir and Merrett, Geoff (2020) Mitigating interactive performance degradation from mobile device thermal throttling. IEEE Embedded Systems Letters. (In Press)

Ashraf Mamun, Sayed, Gilday, Alexander, Singh, Amit Kumar, Ganguly, Amlan, Merrett, Geoff, Wang, Xiaohang and Al-Hashimi, Bashir (2020) Intra- and inter-server smart task scheduling for profit and energy optimization of HPC data centers. Journal of Low Power Electronics and Applications, 10 (4), [32]. (doi:10.3390/jlpea10040032).

Sabetsarvestani, Mohammadamin, Hare, Jonathon and Merrett, Geoffrey (2021) Data for Similarity-aware CNN for Efficient Video Recognition at the Edge. University of Southampton doi:10.5258/SOTON/D2067 [Dataset]

Contact

Share this profile FacebookTwitterWeibo